Seth Copen Goldstein Publications Listed by Topic

 
Active Messages
Active Messages: A Communication Foundation for Parallel Programming Models
Seth Copen Goldstein. CM-5 Users Group, Feb 1990.
Parallel Programming in Split-C
David E. Culler, Andrea Dusseau, Seth Copen Goldstein, Arvind Krishnamurthy, Steven Lumetta, Thorsten von Eicken, and Katherine Yelick. In Proceedings of the Supercomputing '93 Conference, pages 262–273, Nov 1990.
Evaluation of Mechanisms for Fine-Grained Parallel Programs in the J-Machine and the CM-5
Ellen Spertus, Seth Copen Goldstein, Klaus Erik Schauser, Thorsten von Eicken, David E. Culler, and William J. Dally. In Proceedings of the 20th International Symposium on Computer Architecture (ISCA), May 1990.
TAM --- a compiler controlled threaded abstract machine
David E. Culler, Seth Copen Goldstein, Klaus Erik Schauser, and Thorsten von Eicken. Journal of Parallel and Distributed Computing, volume 18, pages 347–370, Jul 1990.
Active Messages: A Mechanism for Integrated Communication and Computation
Thorsten von Eicken, David E. Culler, Seth Copen Goldstein, and Klaus Erik Schauser. In Proceedings of the 19th International Symposium on Computer Architecture (ISCA), pages 430–440, May 1990.
Actuation
Analysis and Modeling of Capacitive Power Transfer in Microsystems
Mustafa Emre Karagozler, Seth Copen Goldstein, and David S. Ricketts. Circuits and Systems I: Regular Papers, IEEE Transactions on, 59(7):1557–1566, Jul 1990.
Stress-Driven MEMS Assembly + Electrostatic Forces = 1mm Diameter Robot
Mustafa Emre Karagozler, Seth Copen Goldstein, and James Robert Reid. In Proceedings of the IEEE International Conference on Intelligent Robots and Systems (IROS '09), Oct 1990. See karagozler-iros09.
A Modular Robotic System Using Magnetic Force Effectors
Brian Kirby, Burak Aksak, Seth Copen Goldstein, James F. Hoburg, Todd C. Mowry, and Padmanabhan Pillai. In Proceedings of the IEEE International Conference on Intelligent Robots and Systems (IROS '07), Oct 1990.
Electrostatic Latching for Inter-module Adhesion, Power Transfer, and Communication in Modular Robots
Mustafa Emre Karagozler, Jason D. Campbell, Gary K. Fedder, Seth Copen Goldstein, Michael Philetus Weller, and Byung W. Yoon. In Proceedings of the IEEE International Conference on Intelligent Robots and Systems (IROS '07), Oct 1990.
Adhesion
Analysis and Modeling of Capacitive Power Transfer in Microsystems
Mustafa Emre Karagozler, Seth Copen Goldstein, and David S. Ricketts. Circuits and Systems I: Regular Papers, IEEE Transactions on, 59(7):1557–1566, Jul 1990.
Stress-Driven MEMS Assembly + Electrostatic Forces = 1mm Diameter Robot
Mustafa Emre Karagozler, Seth Copen Goldstein, and James Robert Reid. In Proceedings of the IEEE International Conference on Intelligent Robots and Systems (IROS '09), Oct 1990. See karagozler-iros09.
A Modular Robotic System Using Magnetic Force Effectors
Brian Kirby, Burak Aksak, Seth Copen Goldstein, James F. Hoburg, Todd C. Mowry, and Padmanabhan Pillai. In Proceedings of the IEEE International Conference on Intelligent Robots and Systems (IROS '07), Oct 1990.
Electrostatic Latching for Inter-module Adhesion, Power Transfer, and Communication in Modular Robots
Mustafa Emre Karagozler, Jason D. Campbell, Gary K. Fedder, Seth Copen Goldstein, Michael Philetus Weller, and Byung W. Yoon. In Proceedings of the IEEE International Conference on Intelligent Robots and Systems (IROS '07), Oct 1990.
Movement Primitives for an Orthogonal Prismatic Closed-Lattice-Constrained Self-Reconfiguring Module
Michael Philetus Weller, Mustafa Emre Karagozler, Brian Kirby, Jason D. Campbell, and Seth Copen Goldstein. In Workshop on Self-Reconfiguring Modular Robotics at the IEEE International Conference on Intelligent Robots and Systems (IROS) '07, Oct 1990.
Asychronous Circuits
Heterogeneous Latch-Based Asynchronous Pipelines
Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. Asynchronous Circuits and Systems, International Symposium on, pages 83–92, 1990.
Slack Analysis in the System Design Loop
Girish Venkataramani and Seth Copen Goldstein. In IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES-ISSS), pages 231–236, Oct 1990.
Area Optimizations for Dual-Rail Circuits Using Relative-Timing Analysis
Tiberiu Chelcea, Girish Venkataramani, and Seth Copen Goldstein. In Proceedings of the 13th IEEE International Symposium on Asynchronous Circuits and Systems, pages 117–128, Mar 1990.
Global Critical Path: A Tool for System-Level Timing Analysis
Girish Venkataramani, Mihai Budiu, Tiberiu Chelcea, and Seth Copen Goldstein. In Proceedings of the 44th ACM/IEEE Design Automation Conference, pages 783–786, Jun 1990.
Operation Chaining Asynchronous Pipelined Circuits
Girish Venkataramani and Seth Copen Goldstein. In ICCAD, Nov 1990.
Self-Resetting Latches for Asynchronous Micro-Pipelines
Tiberiu Chelcea, Girish Venkataramani, and Seth Copen Goldstein. In Proceedings of the 44th ACM/IEEE Design Automation Conference, pages 986–989, Jun 1990.
Hardware Compilation of Application-Specific Memory Access Interconnect
Girish Venkataramani, Tobias Bjerregaard, Tiberiu Chelcea, and Seth Copen Goldstein. IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, 25(5):756–771, 1990.
Leveraging Protocol Knowledge in Slack Matching
Girish Venkataramani and Seth Copen Goldstein. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 1990.
Modeling the Global Critical Path in Concurrent Systems
Girish Venkataramani, Tiberiu Chelcea, Mihai Budiu, and Seth Copen Goldstein. Carnegie Mellon University Technical Report No. CMU-CS-06-144, Aug 1990.
Tartan: Evaluating Spatial Computation for Whole Program Execution
Mahim Mishra, Timothy J Callahan, Tiberiu Chelcea, Girish Venkataramani, Mihai Budiu, and Seth Copen Goldstein. In 12th ACM International Conference on Architecture Support for Programming Languages and Operating Systems (ASPLOS), pages 163–174, Oct 1990.
Adding Faster with Application Specific Early Termination
David Ryan Koes, Tiberiu Chelcea, Charles Onyeama, and Seth Copen Goldstein. Carnegie Mellon University Technical Report No. CMU-CS-05-101, pages 20, May 1990.
SOMA: A Tool for Synthesizing and Optimizing Memory Accesses in ASICs
Girish Venkataramani, Tobias Bjerregaard, Tiberiu Chelcea, and Seth Copen Goldstein. In IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES-ISSS), pages 231–236, Sep 1990.
HLS Support for Unconstrained Memory Accesses
Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In IEEE 14th International Workshop on Logic Synthesis (IWLS), Jun 1990.
Spatial Computation
Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 14–26, Oct 1990.
Translating ANSI C to Asynchronous Circuits
Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In 10th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC '04), Apr 1990.
C to Asynchronous Dataflow Circuits: An End-to-End Toolflow
Girish Venkataramani, Mihai Budiu, Tiberiu Chelcea, and Seth Copen Goldstein. In IEEE 13th International Workshop on Logic Synthesis (IWLS), Jun 1990.
Molecules, Gates, Circuits, Computer
Seth Copen Goldstein and Mihai Budiu. In Molecular Nanoelectronics, Jan 1990.
Brain
Brain in a Bottle
Seth Copen Goldstein. In Wild and Crazy Ideas Session of ASPLOS, Oct 1990.
CAD
Slack Analysis in the System Design Loop
Girish Venkataramani and Seth Copen Goldstein. In IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES-ISSS), pages 231–236, Oct 1990.
Area Optimizations for Dual-Rail Circuits Using Relative-Timing Analysis
Tiberiu Chelcea, Girish Venkataramani, and Seth Copen Goldstein. In Proceedings of the 13th IEEE International Symposium on Asynchronous Circuits and Systems, pages 117–128, Mar 1990.
Global Critical Path: A Tool for System-Level Timing Analysis
Girish Venkataramani, Mihai Budiu, Tiberiu Chelcea, and Seth Copen Goldstein. In Proceedings of the 44th ACM/IEEE Design Automation Conference, pages 783–786, Jun 1990.
Operation Chaining Asynchronous Pipelined Circuits
Girish Venkataramani and Seth Copen Goldstein. In ICCAD, Nov 1990.
Leveraging Protocol Knowledge in Slack Matching
Girish Venkataramani and Seth Copen Goldstein. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 1990.
Modeling the Global Critical Path in Concurrent Systems
Girish Venkataramani, Tiberiu Chelcea, Mihai Budiu, and Seth Copen Goldstein. Carnegie Mellon University Technical Report No. CMU-CS-06-144, Aug 1990.
SOMA: A Tool for Synthesizing and Optimizing Memory Accesses in ASICs
Girish Venkataramani, Tobias Bjerregaard, Tiberiu Chelcea, and Seth Copen Goldstein. In IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES-ISSS), pages 231–236, Sep 1990.
Translating ANSI C to Asynchronous Circuits
Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In 10th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC '04), Apr 1990.
C to Asynchronous Dataflow Circuits: An End-to-End Toolflow
Girish Venkataramani, Mihai Budiu, Tiberiu Chelcea, and Seth Copen Goldstein. In IEEE 13th International Workshop on Logic Synthesis (IWLS), Jun 1990.
Molecules, Gates, Circuits, Computer
Seth Copen Goldstein and Mihai Budiu. In Molecular Nanoelectronics, Jan 1990.
MolSpice: Designing Molecular Logic Circuits
Seth Copen Goldstein, James Ellenbogen, David Almassiam, Matt Brown, Mark Cannarsa, Jesse Klein, Schuyler Schell, Geoff Washburn, and Matthew M Ziegler. In Ninth Foresight Conference on Molecular Nanotechnology, Nov 1990.
Static Profile-driven Compilation for FPGAs
Srihari Cadambi and Seth Copen Goldstein. In Proceedings of the 11th International Conference on Field-Programmable Logic and Applications, Aug 1990.
BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations
Mihai Budiu and Seth Copen Goldstein. Carnegie Mellon University Technical Report, Jun 1990. See budiu-europar00.
Efficient Place and Route for Pipeline Reconfigurable Architectures
Srihari Cadambi and Seth Copen Goldstein. In ICCD '00, Sep 1990.
BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations
Mihai Budiu, Majd Sakr, Kevin Walker, and Seth Copen Goldstein. In Proceedings of the 2000 Europar Conference, volume 1900, pages 969–979, Aug 1990. Also appeared as CMU CS Technical Report, CMU-CS-00-141, October 2000..
CPR: A Configuration Profiling Tool
Srihari Cadambi and Seth Copen Goldstein. In 7th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '99), pages 104, Apr 1990.
Claytronics
Distributed Intelligent MEMS: Progresses and Perspectives
Julien Bourgeois and Seth Copen Goldstein. In ICT Innovations 2011, volume 150, pages 15–25, 1990.
Beyond Audio and Video: Using Claytronics to Enable Pario
Seth Copen Goldstein, Todd C. Mowry, Jason D. Campbell, Michael P. Ashley-Rollman, Michael De Rosa, Stanislav Funiak, James F. Hoburg, Mustafa Emre Karagozler, Brian Kirby, Peter Lee, Padmanabhan Pillai, J. Robert Reid, Daniel D. Stancil, and Michael Philetus Weller. AI Magazine, 30(2), Jul 1990.
Programming Modular Robots with Locally Distributed Predicates
Michael De Rosa, Seth Copen Goldstein, Peter Lee, Jason D. Campbell, and Padmanabhan Pillai. In Proceedings of the IEEE International Conference on Robotics and Automation ICRA '08, 1990.
A Modular Robotic System Using Magnetic Force Effectors
Brian Kirby, Burak Aksak, Seth Copen Goldstein, James F. Hoburg, Todd C. Mowry, and Padmanabhan Pillai. In Proceedings of the IEEE International Conference on Intelligent Robots and Systems (IROS '07), Oct 1990.
A Scalable Distributed Algorithm for Shape Transformation in Multi-Robot Systems
Ramprasad Ravichandran, Geoffrey Gordon, and Seth Copen Goldstein. In Proceedings of the IEEE International Conference on Intelligent Robots and Systems IROS '07, Oct 1990.
Declarative Programming for Modular Robots
Michael P. Ashley-Rollman, Michael De Rosa, Siddhartha S. Srinivasa, Padmanabhan Pillai, Seth Copen Goldstein, and Jason D. Campbell. In Workshop on Self-Reconfigurable Robots/Systems and Applications at IROS '07, Oct 1990.
Electrostatic Latching for Inter-module Adhesion, Power Transfer, and Communication in Modular Robots
Mustafa Emre Karagozler, Jason D. Campbell, Gary K. Fedder, Seth Copen Goldstein, Michael Philetus Weller, and Byung W. Yoon. In Proceedings of the IEEE International Conference on Intelligent Robots and Systems (IROS '07), Oct 1990.
Internal Localization of Modular Robot Ensembles
Stanislav Funiak, Padmanabhan Pillai, Jason D. Campbell, and Seth Copen Goldstein. In Workshop on Self-Reconfiguring Modular Robotics at the IEEE International Conference on Intelligent Robots and Systems (IROS) '07, Oct 1990.
Meld: A Declarative Approach to Programming Ensembles
Michael P. Ashley-Rollman, Seth Copen Goldstein, Peter Lee, Todd C. Mowry, and Padmanabhan Pillai. In Proceedings of the IEEE International Conference on Intelligent Robots and Systems (IROS '07), Oct 1990.
Movement Primitives for an Orthogonal Prismatic Closed-Lattice-Constrained Self-Reconfiguring Module
Michael Philetus Weller, Mustafa Emre Karagozler, Brian Kirby, Jason D. Campbell, and Seth Copen Goldstein. In Workshop on Self-Reconfiguring Modular Robotics at the IEEE International Conference on Intelligent Robots and Systems (IROS) '07, Oct 1990.
Distributed Watchpoints: Debugging Very Large Ensembles of Robots
Michael De Rosa, Seth Copen Goldstein, Peter Lee, Jason D. Campbell, and Padmanabhan Pillai. In Robotics: Science and Systems Workshop on Self-Reconfigurable Modular Robots, Aug 1990.
Hierarchical Motion Planning for Self-reconfigurable Modular Robots
Preethi Srinivas Bhat, James Kuffner, Seth Copen Goldstein, and Siddhartha Srinivasa. In 2006 IEEE/RSJ International Confernce on Intelligent Robots and Systems (IROS), Oct 1990.
Scalable Shape Sculpting via Hole Motion: Motion Planning in Lattice-Constrained Module Robots
Michael De Rosa, Seth Copen Goldstein, Peter Lee, Jason D. Campbell, and Padmanabhan Pillai. In Proceedings of the 2006 IEEE International Conference on Robotics and Automation (ICRA '06), May 1990.
Ultralight Modular Robotic Building blocks for the Rapid Deployment of Planetary Outposts
Mustafa Emre Karagozler, Brian Kirby, W.J. Lee, Eugene Marinelli, T.C. Ng, Michael Weller, and Seth Copen Goldstein. In Revolutionary Aerospace Systems Concepts Academic Linkage (RASC-AL) Forum 2006, May 1990.
2029 The 3-D Fax Machine Brings Back the House Call
Seth Copen Goldstein. Headline from the Future, Popular Science Magazine, pages 34, Mar 1990.
Catoms: Moving Robots Without Moving Parts
Brian Kirby, Jason D. Campbell, Burak Aksak, Padmanabhan Pillai, James F. Hoburg, Todd C. Mowry, and Seth Copen Goldstein. In AAAI (Robot Exhibition), pages 1730–1, Jul 1990.
Demo Abstract: Claytronics---highly scalable communications, sensing, and actuation networks.
Burak Aksak, Preethi Srinivas Bhat, Jason D. Campbell, Michael De Rosa, Stanislav Funiak, Phillip B. Gibbons, Seth Copen Goldstein, Carlos Guestrin, Ashish Gupta, Casey Helfrich, James F. Hoburg, Brian Kirby, James Kuffner, Peter Lee, Todd C. Mowry, Padmanabhan Pillai, Ram Ravichandran, Benjamin D. Rister, Srinivasan Seshan, Metin Sitti, and Haifeng Yu. In Proceedings of the 3rd international conference on Embedded networked sensor systems (SenSys), pages 299, 1990.
Programmable Matter
Seth Copen Goldstein, Jason D. Campbell, and Todd C. Mowry. IEEE Computer, 38(6):99–101, Jun 1990.
The Ensemble Principle
Seth Copen Goldstein, Todd C. Mowry, Jason D. Campbell, Peter Lee, Padmanabhan Pillai, James F. Hoburg, Phillip B. Gibbons, Carlos Guestrin, James Kuffner, Brian Kirby, Benjamin D. Rister, Michael De Rosa, Stanislav Funiak, Burak Aksak, and Rahul Sukthankar. In 13th Foresight Conference of Advanced Nanotechnogy, Oct 1990.
The Robot is the Tether: Active, Adaptive Power Routing for Modular Robots With Unary Inter-robot Connectors
Jason D. Campbell, Padmanabhan Pillai, and Seth Copen Goldstein. In IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS 2005), pages 4108–15, Aug 1990.
Claytronics: A scalable basis for future robots
Seth Copen Goldstein and Todd C. Mowry. In RoboSphere 2004, Nov 1990.
Claytronics: An Instance of Programmable Matter
Seth Copen Goldstein and Todd C. Mowry. In Wild and Crazy Ideas Session of ASPLOS, Oct 1990.
Compilers:Alias Analysis
Programmer Specified Pointer Independence
David Ryan Koes, Mihai Budiu, Girish Venkataramani, and Seth Copen Goldstein. In Proceedings of the 2004 workshop on Memory system performance (MSP), pages 51–59, Jun 1990. Also appeared as Carnegie Mellon University TR CMU-CS-03-123.
Compilers:CASH
Compiling Application-Specific Hardware
Mihai Budiu and Seth Copen Goldstein. In Proceedings of the 12th International Conference on Field Programmable Logic and Applications, pages 853–863, Sep 1990.
BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations
Mihai Budiu and Seth Copen Goldstein. Carnegie Mellon University Technical Report, Jun 1990. See budiu-europar00.
Compilers:Instruction Selection
Near-Optimal Instruction Selection on DAGs
David Ryan Koes and Seth Copen Goldstein. In Proceedings of the International Symposium on Code Generation and Optimization (CGO'08), 1990.
Compilers:Loop Optimizations
Inter-iteration Scalar Replacement in the Presence of Conditional Control Flow
Mihai Budiu and Seth Copen Goldstein. In 3rd Workshop on Optimizations for DSO and Embedded Systems, Mar 1990. Also appeared as CMU CS Technical Report, CMU-CS-04-103.
Inter-Iteration Scalar Replacement in the Presence of Conditional Control-Flow
Mihai Budiu and Seth Copen Goldstein. Carnegie Mellon University Technical Report, Feb 1990. See budiu-odes05.
Compilers:Memory Optimizations
SOMA: A Tool for Synthesizing and Optimizing Memory Accesses in ASICs
Girish Venkataramani, Tobias Bjerregaard, Tiberiu Chelcea, and Seth Copen Goldstein. In IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES-ISSS), pages 231–236, Sep 1990.
Optimizing Memory Accesses For Spatial Computation
Mihai Budiu and Seth Copen Goldstein. In Proceedings of the 1st International ACM/IEEE Symposium on Code Generation and Optimization (CGO 03), pages 216–227, Mar 1990.
Compilers:Register Allocation
A Better Global Progressive Allocator
David Ryan Koes and Seth Copen Goldstein. In LCTES 06 Student Poster Session, 1990.
A global progressive register allocator
David Ryan Koes and Seth Copen Goldstein. In Proceedings of the 2006 ACM SIGPLAN conference on Programming language design and implementation (PLDI'06), pages 204–215, 1990.
An Analysis of Graph Coloring Register Allocation
David Ryan Koes and Seth Copen Goldstein. Carnegie Mellon University Technical Report No. CMU-CS-06-111, pages 10, Mar 1990.
A Progressive Register Allocator for Irregular Architectures
David Ryan Koes and Seth Copen Goldstein. In Proceedings of the International Symposium on Code Generation and Optimization (CGO'05), pages 269–280, Mar 1990.
Compilers:Scalar Replacement
Inter-iteration Scalar Replacement in the Presence of Conditional Control Flow
Mihai Budiu and Seth Copen Goldstein. In 3rd Workshop on Optimizations for DSO and Embedded Systems, Mar 1990. Also appeared as CMU CS Technical Report, CMU-CS-04-103.
Inter-Iteration Scalar Replacement in the Presence of Conditional Control-Flow
Mihai Budiu and Seth Copen Goldstein. Carnegie Mellon University Technical Report, Feb 1990. See budiu-odes05.
Controlling Ensembles
Generalizing Metamodules to Simplify Planning in Modular Robotic Systems
Daniel Dewey, Siddhartha S. Srinivasa, Michael P. Ashley-Rollman, Michael De Rosa, Padmanabhan Pillai, Todd C. Mowry, Jason D. Campbell, and Seth Copen Goldstein. In Proceedings of IEEE/RSJ 2008 International Conference on Intelligent Robots and Systems IROS '08, Sep 1990.
Dataflow
Empirical Study of a Dataflow Language on the CM-5
David E. Culler, Seth Copen Goldstein, Klaus Erik Schauser, and Thorsten von Eicken. In Proc. of the Dataflow Workshop, 19th Int'l Symposium on Computer Architecture, pages 187–210, May 1990.
Debugging
Distributed Watchpoints: Debugging Large Multi-Robot Systems
Michael De Rosa, Seth Copen Goldstein, Peter Lee, Jason D. Campbell, Padmanabhan Pillai, and Todd C. Mowry. In Proceedings of the IEEE International Conference on Robotics and Automation ICRA '07, Apr 1990. See mderosa-ijrr-2008.
Distributed Watchpoints: Debugging Very Large Ensembles of Robots
Michael De Rosa, Seth Copen Goldstein, Peter Lee, Jason D. Campbell, and Padmanabhan Pillai. In Robotics: Science and Systems Workshop on Self-Reconfigurable Modular Robots, Aug 1990.
Hardware-Assisted Replay of Multiprocessor Programs
David F. Bacon and Seth Copen Goldstein. In Proceedings of the ACM/ONR Workshop on Parallel and Distributed Debugging, May 1990.
Debugging Distributed Systems
Distributed Watchpoints: Debugging Large Modular Robotic Systems
Michael De Rosa, Seth Copen Goldstein, Peter Lee, Jason D. Campbell, and Padmanabhan Pillai. International Journal of Robotics Research, 27(3), Mar 1990. Also appeared as Distributed Watchpoints: Debugging Large Multi-Robot Systems, (ICRA07).
Development Tools
Blinky blocks: a physical ensemble programming platform
Brian T. Kirby, Michael Ashley-Rollman, and Seth Copen Goldstein. In CHI '11 Extended Abstracts on Human Factors in Computing Systems, pages 1111–1116, 1990.
Distributed Algorithms
Distributed Watchpoints: Debugging Large Multi-Robot Systems
Michael De Rosa, Seth Copen Goldstein, Peter Lee, Jason D. Campbell, Padmanabhan Pillai, and Todd C. Mowry. In Proceedings of the IEEE International Conference on Robotics and Automation ICRA '07, Apr 1990. See mderosa-ijrr-2008.
Internal Localization of Modular Robot Ensembles
Stanislav Funiak, Padmanabhan Pillai, Jason D. Campbell, and Seth Copen Goldstein. In Workshop on Self-Reconfiguring Modular Robotics at the IEEE International Conference on Intelligent Robots and Systems (IROS) '07, Oct 1990.
Distributed Programming;electronic Engineering Computing;knowledge Based Systems;mechanical Engineering Computing;micromechanical Devices;communication;coordinated Actuation;distributed Computing;distributed Intelligent MEMS Systems;embedded Intelligence;manufacturing Scalability;microelectromechanical Systems;mobility Management;programming;Actuators;Hardware;Micromechanical Devices;Network Topology;Scalability;Sensors;Topology;Claytronics;Smart Blocks;Smart Surface;distributed Computing;microelectromechanical Systems (MEMS)
Distributed Intelligent MEMS: Progresses and Perspectives
Julien Bourgeois and Seth Copen Goldstein. IEEE Systems Journal, 9(3):1057–1068, Sep 1990.
Distributed Systems
A Language for Large Ensembles of Independently Executing Nodes
Michael P. Ashley-Rollman, Peter Lee, Seth Copen Goldstein, Padmanabhan Pillai, and Jason D. Campbell. In Proceedings of the International Conference on Logic Programming (ICLP '09), Jul 1990.
Distributed Localization of Modular Robot Ensembles
Stanislav Funiak, Padmanabhan Pillai, Michael P. Ashley-Rollman, Jason D. Campbell, and Seth Copen Goldstein. In Proceedings of Robotics: Science and Systems, Jun 1990. See funiak-ijrr09.
Distributed Watchpoints: Debugging Very Large Ensembles of Robots
Michael De Rosa, Seth Copen Goldstein, Peter Lee, Jason D. Campbell, and Padmanabhan Pillai. In Robotics: Science and Systems Workshop on Self-Reconfigurable Modular Robots, Aug 1990.
Electronic Nanotechnology
Nonphotolithographic Nanoscale Memory Density Prospects
Andre DeHon, Seth Copen Goldstein, Phil Kuekes, and Patrick Lincoln. IEEE Transactions on Nanotechnology, volume 4, pages 215–228, Mar 1990.
The impact of the nanoscale on computing systems
Seth Copen Goldstein. In IEEE/ACM International Conference on Computer-Aided Design, 2005 (ICCAD 2005), pages 655–661, Nov 1990.
Why area might reduce power in nanoscale CMOS
Paul Beckett and Seth Copen Goldstein. In IEEE International Symposium on Circuits and Systems, 2005, (ISCAS 2005), volume 3, pages 2329–2332, May 1990.
Computing Without Processors
Seth Copen Goldstein. In International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA'04), pages 29–32, Jun 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Nano, Quantum and Molecular Computing: Implications to High Level Design and Validation, 1990.
The Challenges and Opportunities of Nanoelectronics
Seth Copen Goldstein. In Proceedings of Government Microcircuit Applications and Critical Technology Conference (GOMAC Tech 04), Mar 1990.
Translating ANSI C to Asynchronous Circuits
Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In 10th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC '04), Apr 1990.
Models and Abstractions for Nanoelectronics
Seth Copen Goldstein and Y Zhu. In Third IEEE Conference on Nanotechnology (IEEE-NANO 2003), Aug 1990.
Molecular Electronics: From Devices and Interconnect to Circuits and Architecture
Mircea R Stan, Paul D Franzon, Seth Copen Goldstein, John C Lach, and Matthew M Ziegler. Proceedings of the IEEE, 91(11), Nov 1990.
Molecules, Gates, Circuits, Computer
Seth Copen Goldstein and Mihai Budiu. In Molecular Nanoelectronics, Jan 1990.
Nano, Quantum, and Molecular Computing: Are We Ready for the Validation and Test Challenges
Sandeep K. Shukla, Ramesh Karri, Seth Copen Goldstein, Forest Brewer, Kaustav Banerjee, and Sankar Basu. In Eighth IEEE International High-Level Design Validation and Test Workshop, pages 307, Nov 1990.
Reconfigurable Computing and Electronic Nanotechnology
Seth Copen Goldstein, Mihai Budiu, Mahim Mishra, and Girish Venkataramani. In Proceedings of the IEEE 14th International Conference on Application-specific Systems, Architectures and Processors (ASAP 2003), pages 132–143, Jun 1990.
Reconfigurable Nanoelectronics and Defect Tolerance
Seth Copen Goldstein. In Proceedings of High-level design, verification, and test, 1990.
Digital Logic Using Molecular Electronics
Dan Rosewater and Seth Copen Goldstein. In IEEE International Solid-State Circuits Conference (ISSCC), Feb 1990.
From Molecules to Computers
Seth Copen Goldstein. In Tutorial at 35th Annual International Symposium on Microarchitecture (Micro 35), Nov 1990.
Molecular electronics: devices, systems and tools for gigagate,gigabit chips
Michael Butts, Andre DeHon, and Seth Copen Goldstein. In International Conference on Computer-Aided Design ( ICCAD '02), pages 433–440, Nov 1990.
What makes a good molecular computing device?
Daniel L. Rosewater and Seth Copen Goldstein. Carnegie Mellon University Technical Report No. CMU-CS-02-181, Sep 1990.
Electronic Nanotechnology and Reconfigurable Computing
Seth Copen Goldstein. In Proceedings of the IEEE Computer Society Workshop VLSI 2001, pages 10, Apr 1990.
MolSpice: Designing Molecular Logic Circuits
Seth Copen Goldstein, James Ellenbogen, David Almassiam, Matt Brown, Mark Cannarsa, Jesse Klein, Schuyler Schell, Geoff Washburn, and Matthew M Ziegler. In Ninth Foresight Conference on Molecular Nanotechnology, Nov 1990.
NanoFabrics: Spatial Computing Using Molecular Electronics
Seth Copen Goldstein and Mihai Budiu. In Proceedings of the 28th International Symposium on Computer Architecture (ISCA), pages 178–189, Jul 1990.
NanoFabrics: Extending Moore's Law Beyond the CMOS Era
Seth Copen Goldstein. In The 10th International Conference on Architectural Support for Programming Languages and Operating Systems. (ASPLOS 'IX), Nov 1990.
Energy
Why area might reduce power in nanoscale CMOS
Paul Beckett and Seth Copen Goldstein. In IEEE International Symposium on Circuits and Systems, 2005, (ISCAS 2005), volume 3, pages 2329–2332, May 1990.
Fault And Defect Tolerance
Nonphotolithographic Nanoscale Memory Density Prospects
Andre DeHon, Seth Copen Goldstein, Phil Kuekes, and Patrick Lincoln. IEEE Transactions on Nanotechnology, volume 4, pages 215–228, Mar 1990.
Computing Without Processors
Seth Copen Goldstein. In International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA'04), pages 29–32, Jun 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Nano, Quantum and Molecular Computing: Implications to High Level Design and Validation, 1990.
Translating ANSI C to Asynchronous Circuits
Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In 10th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC '04), Apr 1990.
Defect Tolerance After the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the 10th International Test Synthesis Workshop (ITSW), Mar 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the International Test Conference (ITC), 2003, Sep 1990.
Molecules, Gates, Circuits, Computer
Seth Copen Goldstein and Mihai Budiu. In Molecular Nanoelectronics, Jan 1990.
Nano, Quantum, and Molecular Computing: Are We Ready for the Validation and Test Challenges
Sandeep K. Shukla, Ramesh Karri, Seth Copen Goldstein, Forest Brewer, Kaustav Banerjee, and Sankar Basu. In Eighth IEEE International High-Level Design Validation and Test Workshop, pages 307, Nov 1990.
Reconfigurable Nanoelectronics and Defect Tolerance
Seth Copen Goldstein. In Proceedings of High-level design, verification, and test, 1990.
Scalable Defect Tolerance for Molecular Electronics
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the 1st Workshop on Non-Silicon Computing (NSC-1), 1990.
Electronic Nanotechnology and Reconfigurable Computing
Seth Copen Goldstein. In Proceedings of the IEEE Computer Society Workshop VLSI 2001, pages 10, Apr 1990.
Fault Tolerance in Run-time Reconfigurable Architectures
Peter M. Kamarchik, Steven Sinha, and Seth Copen Goldstein. In IEEE Symposium on FPGAs for Custom Computing Machines (FCCM '00), Apr 1990.
NanoFabrics: Extending Moore's Law Beyond the CMOS Era
Seth Copen Goldstein. In The 10th International Conference on Architectural Support for Programming Languages and Operating Systems. (ASPLOS 'IX), Nov 1990.
Tunable Fault Tolerance for Runtime Reconfigurable Architectures
Steven K. Sinha, Peter M. Kamarchik, and Seth Copen Goldstein. In 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), pages 185–192, Apr 1990.
Tunable Fault Tolernace via Test and Reconfiguration
Shawn Blanton, Seth Copen Goldstein, and Herman Schmit. In Digest of FastAbstracts of the 28th Annual International Symposium on Fault-Tolerant Computing, pages 9–10, Jun 1990.
Functional Programming
How Much Non-Strictness Do Lenient Programs Require?
Klaus Erik Schauser and Seth Copen Goldstein. In Conference on Functional Programming Languages and Computer Architecture, Jun 1990.
Global Critical Path
Slack Analysis in the System Design Loop
Girish Venkataramani and Seth Copen Goldstein. In IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES-ISSS), pages 231–236, Oct 1990.
Global Critical Path: A Tool for System-Level Timing Analysis
Girish Venkataramani, Mihai Budiu, Tiberiu Chelcea, and Seth Copen Goldstein. In Proceedings of the 44th ACM/IEEE Design Automation Conference, pages 783–786, Jun 1990.
Operation Chaining Asynchronous Pipelined Circuits
Girish Venkataramani and Seth Copen Goldstein. In ICCAD, Nov 1990.
Leveraging Protocol Knowledge in Slack Matching
Girish Venkataramani and Seth Copen Goldstein. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 1990.
Modeling the Global Critical Path in Concurrent Systems
Girish Venkataramani, Tiberiu Chelcea, Mihai Budiu, and Seth Copen Goldstein. Carnegie Mellon University Technical Report No. CMU-CS-06-144, Aug 1990.
Hardware Profiling
Global Critical Path: A Tool for System-Level Timing Analysis
Girish Venkataramani, Mihai Budiu, Tiberiu Chelcea, and Seth Copen Goldstein. In Proceedings of the 44th ACM/IEEE Design Automation Conference, pages 783–786, Jun 1990.
Id90
Studying Dynamic Program Behavior `In the Large'
Seth Copen Goldstein. In ID Workshop, Nov 1990.
Supporting Implicitly Parallel Languages
Seth Copen Goldstein. In 14th Annual Industrial Liaison Program Conference, Mar 1990.
Empirical Study of a Dataflow Language on the CM-5
David E. Culler, Seth Copen Goldstein, Klaus Erik Schauser, and Thorsten von Eicken. In Proc. of the Dataflow Workshop, 19th Int'l Symposium on Computer Architecture, pages 187–210, May 1990.
LDP
Programming Modular Robots with Locally Distributed Predicates
Michael De Rosa, Seth Copen Goldstein, Peter Lee, Jason D. Campbell, and Padmanabhan Pillai. In Proceedings of the IEEE International Conference on Robotics and Automation ICRA '08, 1990.
Declarative Programming for Modular Robots
Michael P. Ashley-Rollman, Michael De Rosa, Siddhartha S. Srinivasa, Padmanabhan Pillai, Seth Copen Goldstein, and Jason D. Campbell. In Workshop on Self-Reconfigurable Robots/Systems and Applications at IROS '07, Oct 1990.
Lazy Threads
The Lazy Multithreaded Implementation Design Space
Seth Copen Goldstein and David E. Culler. In The Yale Multithreaded Workshop, Jun 1990.
Lazy Threads Compiler and Runtime Structures for Fine-Grained Parallel Programming
Seth Copen Goldstein. PhD Thesis, University of California--Berkeley, 1990.
Lazy threads: implementing a fast parallel call
Seth Copen Goldstein, Klaus Erik Schauser, and David E. Culler. Journal of Parallel and Distributed Computing, 37(1):5–20, 1990.
Enabling Primitives for Compiling Parallel Languages
Seth Copen Goldstein, David E. Culler, and Klaus Erik Schauser. In Third Workshop on Languages, Compilers, and Run-Time Systems for Scalable Computers, May 1990.
Lazy Threads, Stacklets, and Synchronizers: Enabling primitives for compiling parallel languages
Seth Copen Goldstein, David E. Culler, and Klaus Erik Schauser. University of California at Berkeley Technical Report, 1990.
Localization
Distributed Localization of Modular Robot Ensembles
Stanislav Funiak, Padmanabhan Pillai, Michael P. Ashley-Rollman, Jason D. Campbell, and Seth Copen Goldstein. In Proceedings of Robotics: Science and Systems, Jun 1990. See funiak-ijrr09.
Internal Localization of Modular Robot Ensembles
Stanislav Funiak, Padmanabhan Pillai, Jason D. Campbell, and Seth Copen Goldstein. In Workshop on Self-Reconfiguring Modular Robotics at the IEEE International Conference on Intelligent Robots and Systems (IROS) '07, Oct 1990.
Logic Programming
Order-sorted feature theory unification
Ait-Kaci Hassan, Andreas Podelski, and Seth Copen Goldstein. The Journal of Logic Programming, 30(2):99–124, Feb 1990. Also appeared as Proceedings of the International Symposium on Logic Programming (ILPS), 1993 and as DEC Technical Report PRL-RR-32.
Order-sorted feature theory unification
Ait-Kaci Hassan, Andreas Podelski, and Seth Copen Goldstein. DEC Paris Research Labs Technical Report No. PRL-RR-32, 1990. See ait-kaci-jlp97.
An Abstract Machine to Implement Functions in LIFE
Seth Copen Goldstein. DEC Paris Research Lab Technical Report No. Note 18, 1990.
Meld
A Language for Large Ensembles of Independently Executing Nodes
Michael P. Ashley-Rollman, Peter Lee, Seth Copen Goldstein, Padmanabhan Pillai, and Jason D. Campbell. In Proceedings of the International Conference on Logic Programming (ICLP '09), Jul 1990.
Distributed Localization of Modular Robot Ensembles
Stanislav Funiak, Padmanabhan Pillai, Michael P. Ashley-Rollman, Jason D. Campbell, and Seth Copen Goldstein. In Proceedings of Robotics: Science and Systems, Jun 1990. See funiak-ijrr09.
Generalizing Metamodules to Simplify Planning in Modular Robotic Systems
Daniel Dewey, Siddhartha S. Srinivasa, Michael P. Ashley-Rollman, Michael De Rosa, Padmanabhan Pillai, Todd C. Mowry, Jason D. Campbell, and Seth Copen Goldstein. In Proceedings of IEEE/RSJ 2008 International Conference on Intelligent Robots and Systems IROS '08, Sep 1990.
Declarative Programming for Modular Robots
Michael P. Ashley-Rollman, Michael De Rosa, Siddhartha S. Srinivasa, Padmanabhan Pillai, Seth Copen Goldstein, and Jason D. Campbell. In Workshop on Self-Reconfigurable Robots/Systems and Applications at IROS '07, Oct 1990.
Meld: A Declarative Approach to Programming Ensembles
Michael P. Ashley-Rollman, Seth Copen Goldstein, Peter Lee, Todd C. Mowry, and Padmanabhan Pillai. In Proceedings of the IEEE International Conference on Intelligent Robots and Systems (IROS '07), Oct 1990.
Memory Density
Nonphotolithographic Nanoscale Memory Density Prospects
Andre DeHon, Seth Copen Goldstein, Phil Kuekes, and Patrick Lincoln. IEEE Transactions on Nanotechnology, volume 4, pages 215–228, Mar 1990.
Memory Organization
Nonphotolithographic Nanoscale Memory Density Prospects
Andre DeHon, Seth Copen Goldstein, Phil Kuekes, and Patrick Lincoln. IEEE Transactions on Nanotechnology, volume 4, pages 215–228, Mar 1990.
Modular Robotics
Programming Modular Robots with Locally Distributed Predicates
Michael De Rosa, Seth Copen Goldstein, Peter Lee, Jason D. Campbell, and Padmanabhan Pillai. In Proceedings of the IEEE International Conference on Robotics and Automation ICRA '08, 1990.
Distributed Watchpoints: Debugging Very Large Ensembles of Robots
Michael De Rosa, Seth Copen Goldstein, Peter Lee, Jason D. Campbell, and Padmanabhan Pillai. In Robotics: Science and Systems Workshop on Self-Reconfigurable Modular Robots, Aug 1990.
Hierarchical Motion Planning for Self-reconfigurable Modular Robots
Preethi Srinivas Bhat, James Kuffner, Seth Copen Goldstein, and Siddhartha Srinivasa. In 2006 IEEE/RSJ International Confernce on Intelligent Robots and Systems (IROS), Oct 1990.
Scalable Shape Sculpting via Hole Motion: Motion Planning in Lattice-Constrained Module Robots
Michael De Rosa, Seth Copen Goldstein, Peter Lee, Jason D. Campbell, and Padmanabhan Pillai. In Proceedings of the 2006 IEEE International Conference on Robotics and Automation (ICRA '06), May 1990.
Ultralight Modular Robotic Building blocks for the Rapid Deployment of Planetary Outposts
Mustafa Emre Karagozler, Brian Kirby, W.J. Lee, Eugene Marinelli, T.C. Ng, Michael Weller, and Seth Copen Goldstein. In Revolutionary Aerospace Systems Concepts Academic Linkage (RASC-AL) Forum 2006, May 1990.
Molecular Electronics
Nonphotolithographic Nanoscale Memory Density Prospects
Andre DeHon, Seth Copen Goldstein, Phil Kuekes, and Patrick Lincoln. IEEE Transactions on Nanotechnology, volume 4, pages 215–228, Mar 1990.
The impact of the nanoscale on computing systems
Seth Copen Goldstein. In IEEE/ACM International Conference on Computer-Aided Design, 2005 (ICCAD 2005), pages 655–661, Nov 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Nano, Quantum and Molecular Computing: Implications to High Level Design and Validation, 1990.
Methods of chemically assembled electronic nanotechnology circuit fabrication
Seth Copen Goldstein and Daniel L. Rosewater. United States Patent No. 7,064,000. Issued June 20, 2006, Jul 1990.
Molecules, Gates, Circuits, Computer
Seth Copen Goldstein and Mihai Budiu. In Molecular Nanoelectronics, Jan 1990.
Nano, Quantum, and Molecular Computing: Are We Ready for the Validation and Test Challenges
Sandeep K. Shukla, Ramesh Karri, Seth Copen Goldstein, Forest Brewer, Kaustav Banerjee, and Sankar Basu. In Eighth IEEE International High-Level Design Validation and Test Workshop, pages 307, Nov 1990.
Digital Logic Using Molecular Electronics
Dan Rosewater and Seth Copen Goldstein. In IEEE International Solid-State Circuits Conference (ISSCC), Feb 1990.
Molecular electronics: devices, systems and tools for gigagate,gigabit chips
Michael Butts, Andre DeHon, and Seth Copen Goldstein. In International Conference on Computer-Aided Design ( ICCAD '02), pages 433–440, Nov 1990.
Molecular scale latch and associated clocking scheme to provide gain, memory and I/O isolation
Seth Copen Goldstein and Daniel L. Rosewater. United States Patent No. 6,777,982. Issued August 17, 2004, Apr 1990.
MolSpice: Designing Molecular Logic Circuits
Seth Copen Goldstein, James Ellenbogen, David Almassiam, Matt Brown, Mark Cannarsa, Jesse Klein, Schuyler Schell, Geoff Washburn, and Matthew M Ziegler. In Ninth Foresight Conference on Molecular Nanotechnology, Nov 1990.
NanoFabrics: Extending Moore's Law Beyond the CMOS Era
Seth Copen Goldstein. In The 10th International Conference on Architectural Support for Programming Languages and Operating Systems. (ASPLOS 'IX), Nov 1990.
Multi-Robot Formations
Generalizing Metamodules to Simplify Planning in Modular Robotic Systems
Daniel Dewey, Siddhartha S. Srinivasa, Michael P. Ashley-Rollman, Michael De Rosa, Padmanabhan Pillai, Todd C. Mowry, Jason D. Campbell, and Seth Copen Goldstein. In Proceedings of IEEE/RSJ 2008 International Conference on Intelligent Robots and Systems IROS '08, Sep 1990.
A Scalable Distributed Algorithm for Shape Transformation in Multi-Robot Systems
Ramprasad Ravichandran, Geoffrey Gordon, and Seth Copen Goldstein. In Proceedings of the IEEE International Conference on Intelligent Robots and Systems IROS '07, Oct 1990.
NIFDY
NIFDY: A Low Overhead, High Throughput Network Interface
Timothy J Callahan and Seth Copen Goldstein. In Proceedings of the 22nd International Symposium on Computer Architecture, Jun 1990.
Network-on-a-chip
Hardware Compilation of Application-Specific Memory Access Interconnect
Girish Venkataramani, Tobias Bjerregaard, Tiberiu Chelcea, and Seth Copen Goldstein. IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, 25(5):756–771, 1990.
Object-Oriented Programming
The Future of Object-Oriented Programming
Seth Copen Goldstein. In TOOLS 1990, May 1990.
Parallel Computing
Brain in a Bottle
Seth Copen Goldstein. In Wild and Crazy Ideas Session of ASPLOS, Oct 1990.
The Lazy Multithreaded Implementation Design Space
Seth Copen Goldstein and David E. Culler. In The Yale Multithreaded Workshop, Jun 1990.
Lazy Threads Compiler and Runtime Structures for Fine-Grained Parallel Programming
Seth Copen Goldstein. PhD Thesis, University of California--Berkeley, 1990.
Lazy threads: implementing a fast parallel call
Seth Copen Goldstein, Klaus Erik Schauser, and David E. Culler. Journal of Parallel and Distributed Computing, 37(1):5–20, 1990.
Enabling Primitives for Compiling Parallel Languages
Seth Copen Goldstein, David E. Culler, and Klaus Erik Schauser. In Third Workshop on Languages, Compilers, and Run-Time Systems for Scalable Computers, May 1990.
How Much Non-Strictness Do Lenient Programs Require?
Klaus Erik Schauser and Seth Copen Goldstein. In Conference on Functional Programming Languages and Computer Architecture, Jun 1990.
Introduction to Split-C
David E. Culler, Andrea Dusseau, Seth Copen Goldstein, Arvind Krishnamurthy, Steven Lumetta, Thorsten von Eicken, and Katherine Yelick. University of California--Berkeley Technical Report, Apr 1990.
NIFDY: A Low Overhead, High Throughput Network Interface
Timothy J Callahan and Seth Copen Goldstein. In Proceedings of the 22nd International Symposium on Computer Architecture, Jun 1990.
Separation Constraint Partitioning --- A New Algorithm for Partitioning non-Strict Programs Into Sequential Threads
Klaus Erik Schauser, David E. Culler, and Seth Copen Goldstein. In Proceedings of the Principles of Programming Languages, Jan 1990.
Lazy Threads, Stacklets, and Synchronizers: Enabling primitives for compiling parallel languages
Seth Copen Goldstein, David E. Culler, and Klaus Erik Schauser. University of California at Berkeley Technical Report, 1990.
Active Messages: A Communication Foundation for Parallel Programming Models
Seth Copen Goldstein. CM-5 Users Group, Feb 1990.
The Implementation of a Threaded Abstract Machine
Seth Copen Goldstein. Master's Thesis, University of California at Berkeley, May 1990. Also appeared as UC-Berkeley Technical Report UCB/CSD-94-818.
Parallel Programming in Split-C
David E. Culler, Andrea Dusseau, Seth Copen Goldstein, Arvind Krishnamurthy, Steven Lumetta, Thorsten von Eicken, and Katherine Yelick. In Proceedings of the Supercomputing '93 Conference, pages 262–273, Nov 1990.
Evaluation of Mechanisms for Fine-Grained Parallel Programs in the J-Machine and the CM-5
Ellen Spertus, Seth Copen Goldstein, Klaus Erik Schauser, Thorsten von Eicken, David E. Culler, and William J. Dally. In Proceedings of the 20th International Symposium on Computer Architecture (ISCA), May 1990.
TAM --- a compiler controlled threaded abstract machine
David E. Culler, Seth Copen Goldstein, Klaus Erik Schauser, and Thorsten von Eicken. Journal of Parallel and Distributed Computing, volume 18, pages 347–370, Jul 1990.
Studying Dynamic Program Behavior `In the Large'
Seth Copen Goldstein. In ID Workshop, Nov 1990.
Supporting Implicitly Parallel Languages
Seth Copen Goldstein. In 14th Annual Industrial Liaison Program Conference, Mar 1990.
Active Messages: A Mechanism for Integrated Communication and Computation
Thorsten von Eicken, David E. Culler, Seth Copen Goldstein, and Klaus Erik Schauser. In Proceedings of the 19th International Symposium on Computer Architecture (ISCA), pages 430–440, May 1990.
Empirical Study of a Dataflow Language on the CM-5
David E. Culler, Seth Copen Goldstein, Klaus Erik Schauser, and Thorsten von Eicken. In Proc. of the Dataflow Workshop, 19th Int'l Symposium on Computer Architecture, pages 187–210, May 1990.
Hardware-Assisted Replay of Multiprocessor Programs
David F. Bacon and Seth Copen Goldstein. In Proceedings of the ACM/ONR Workshop on Parallel and Distributed Debugging, May 1990.
Phoenix
Hardware Compilation of Application-Specific Memory Access Interconnect
Girish Venkataramani, Tobias Bjerregaard, Tiberiu Chelcea, and Seth Copen Goldstein. IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, 25(5):756–771, 1990.
Tartan: Evaluating Spatial Computation for Whole Program Execution
Mahim Mishra, Timothy J Callahan, Tiberiu Chelcea, Girish Venkataramani, Mihai Budiu, and Seth Copen Goldstein. In 12th ACM International Conference on Architecture Support for Programming Languages and Operating Systems (ASPLOS), pages 163–174, Oct 1990.
Dataflow: A Complement to Superscalar
Mihai Budiu, Pedro V. Artigas, and Seth Copen Goldstein. In IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pages 177–186, Mar 1990.
Inter-iteration Scalar Replacement in the Presence of Conditional Control Flow
Mihai Budiu and Seth Copen Goldstein. In 3rd Workshop on Optimizations for DSO and Embedded Systems, Mar 1990. Also appeared as CMU CS Technical Report, CMU-CS-04-103.
SOMA: A Tool for Synthesizing and Optimizing Memory Accesses in ASICs
Girish Venkataramani, Tobias Bjerregaard, Tiberiu Chelcea, and Seth Copen Goldstein. In IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES-ISSS), pages 231–236, Sep 1990.
HLS Support for Unconstrained Memory Accesses
Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In IEEE 14th International Workshop on Logic Synthesis (IWLS), Jun 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Nano, Quantum and Molecular Computing: Implications to High Level Design and Validation, 1990.
Inter-Iteration Scalar Replacement in the Presence of Conditional Control-Flow
Mihai Budiu and Seth Copen Goldstein. Carnegie Mellon University Technical Report, Feb 1990. See budiu-odes05.
Programmer Specified Pointer Independence
David Ryan Koes, Mihai Budiu, Girish Venkataramani, and Seth Copen Goldstein. In Proceedings of the 2004 workshop on Memory system performance (MSP), pages 51–59, Jun 1990. Also appeared as Carnegie Mellon University TR CMU-CS-03-123.
Spatial Computation
Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 14–26, Oct 1990.
Translating ANSI C to Asynchronous Circuits
Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In 10th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC '04), Apr 1990.
C to Asynchronous Dataflow Circuits: An End-to-End Toolflow
Girish Venkataramani, Mihai Budiu, Tiberiu Chelcea, and Seth Copen Goldstein. In IEEE 13th International Workshop on Logic Synthesis (IWLS), Jun 1990.
Defect Tolerance After the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the 10th International Test Synthesis Workshop (ITSW), Mar 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the International Test Conference (ITC), 2003, Sep 1990.
Optimizing Memory Accesses For Spatial Computation
Mihai Budiu and Seth Copen Goldstein. In Proceedings of the 1st International ACM/IEEE Symposium on Code Generation and Optimization (CGO 03), pages 216–227, Mar 1990.
Compiling Application-Specific Hardware
Mihai Budiu and Seth Copen Goldstein. In Proceedings of the 12th International Conference on Field Programmable Logic and Applications, pages 853–863, Sep 1990.
Factors Influencing the Performance of a CPU-RFU Hybrid Architecture
Girish Venkataramani, Suraj Sudhir, Mihai Budiu, and Seth Copen Goldstein. In Proceedings of the 12th International Conference on Field Programmable Logic and Applications (FPL), pages 955–965, Sep 1990.
Pegasus: An Efficient Intermediate Representation
Mihai Budiu and Seth Copen Goldstein. Carnegie Mellon University Technical Report No. CMU-CS-02-107, pages 20, May 1990.
Scalable Defect Tolerance for Molecular Electronics
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the 1st Workshop on Non-Silicon Computing (NSC-1), 1990.
NanoFabrics: Spatial Computing Using Molecular Electronics
Seth Copen Goldstein and Mihai Budiu. In Proceedings of the 28th International Symposium on Computer Architecture (ISCA), pages 178–189, Jul 1990.
BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations
Mihai Budiu, Majd Sakr, Kevin Walker, and Seth Copen Goldstein. In Proceedings of the 2000 Europar Conference, volume 1900, pages 969–979, Aug 1990. Also appeared as CMU CS Technical Report, CMU-CS-00-141, October 2000..
PipeRench
Fault Tolerance in Run-time Reconfigurable Architectures
Peter M. Kamarchik, Steven Sinha, and Seth Copen Goldstein. In IEEE Symposium on FPGAs for Custom Computing Machines (FCCM '00), Apr 1990.
Pipeline Reconfigurable FPGAs
Herman Schmit, Seth Copen Goldstein, Srihari Cadambi, and Matthew Moe. In Field-Programmable Custom Computing Technology: Architecture, Tools, and Applications, 1990.
Pipeline Reconfigurable FPGAs
Herman Schmit, Srihari Cadambi, Matthew Moe, and Seth Copen Goldstein. Journal of VLSI Signal Processing Systems, 33(4):70–77, Apr 1990. Also appeared as chapter in Field-Programmable Custom Computing Technology: Architecture, Tools, and Applications.
Tunable Fault Tolerance for Runtime Reconfigurable Architectures
Steven K. Sinha, Peter M. Kamarchik, and Seth Copen Goldstein. In 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), pages 185–192, Apr 1990.
BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations
Mihai Budiu, Majd Sakr, Kevin Walker, and Seth Copen Goldstein. In Proceedings of the 2000 Europar Conference, volume 1900, pages 969–979, Aug 1990. Also appeared as CMU CS Technical Report, CMU-CS-00-141, October 2000..
PipeRench: A Reconfigurable Architecture and Compiler
Seth Copen Goldstein, Herman Schmit, Mihai Budiu, Srihari Cadambi, Matthew Moe, and R. Reed Taylor. IEEE Computer, 33(4):70–77, Apr 1990.
A High-Performance Flexible Architecture for Cryptography
R. Reed Taylor and Seth Copen Goldstein. In Proceedings of the Workshop on Cryptographic Hardware and Embedded Systems 1999 (CHES99), pages 231–245, Aug 1990.
Fast Compilation for Pipelined Reconfigurable Fabrics
Mihai Budiu and Seth Copen Goldstein. In Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays (FPGA '99), pages 195–205, Feb 1990.
PipeRench: a Coprocessor for Streaming Multimedia Acceleration
Seth Copen Goldstein, Herman Schmit, Matthew Moe, Mihai Budiu, Srihari Cadambi, R. Reed Taylor, and Ronald Laufer. In Proceedings of the 26th International Symposium on Computer Architecture (ISCA), pages 28–39, May 1990.
Tunable Fault Tolernace via Test and Reconfiguration
Shawn Blanton, Seth Copen Goldstein, and Herman Schmit. In Digest of FastAbstracts of the 28th Annual International Symposium on Fault-Tolerant Computing, pages 9–10, Jun 1990.
Characterization and Parameterization of a Pipeline Reconfigurable FGPA
Matthew Moe, Herman Schmit, and Seth Copen Goldstein. In 6th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), pages 294–295, Apr 1990.
Managing pipeline-reconfigurable FPGAs
Srihari Cadambi, J. Weener, Seth Copen Goldstein, Herman Schmit, and Donald E Thomas. In Proceedings of the 1998 ACM/SIGDA Sixth International Symposium on Field Programmable Gate Arrays, pages 55–64, Feb 1990.
Place And Route
Efficient Place and Route for Pipeline Reconfigurable Architectures
Srihari Cadambi and Seth Copen Goldstein. In ICCD '00, Sep 1990.
CPR: A Configuration Profiling Tool
Srihari Cadambi and Seth Copen Goldstein. In 7th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '99), pages 104, Apr 1990.
Fast Compilation for Pipelined Reconfigurable Fabrics
Mihai Budiu and Seth Copen Goldstein. In Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays (FPGA '99), pages 195–205, Feb 1990.
Planning
Generalizing Metamodules to Simplify Planning in Modular Robotic Systems
Daniel Dewey, Siddhartha S. Srinivasa, Michael P. Ashley-Rollman, Michael De Rosa, Padmanabhan Pillai, Todd C. Mowry, Jason D. Campbell, and Seth Copen Goldstein. In Proceedings of IEEE/RSJ 2008 International Conference on Intelligent Robots and Systems IROS '08, Sep 1990.
Declarative Programming for Modular Robots
Michael P. Ashley-Rollman, Michael De Rosa, Siddhartha S. Srinivasa, Padmanabhan Pillai, Seth Copen Goldstein, and Jason D. Campbell. In Workshop on Self-Reconfigurable Robots/Systems and Applications at IROS '07, Oct 1990.
Movement Primitives for an Orthogonal Prismatic Closed-Lattice-Constrained Self-Reconfiguring Module
Michael Philetus Weller, Mustafa Emre Karagozler, Brian Kirby, Jason D. Campbell, and Seth Copen Goldstein. In Workshop on Self-Reconfiguring Modular Robotics at the IEEE International Conference on Intelligent Robots and Systems (IROS) '07, Oct 1990.
Hierarchical Motion Planning for Self-reconfigurable Modular Robots
Preethi Srinivas Bhat, James Kuffner, Seth Copen Goldstein, and Siddhartha Srinivasa. In 2006 IEEE/RSJ International Confernce on Intelligent Robots and Systems (IROS), Oct 1990.
Scalable Shape Sculpting via Hole Motion: Motion Planning in Lattice-Constrained Module Robots
Michael De Rosa, Seth Copen Goldstein, Peter Lee, Jason D. Campbell, and Padmanabhan Pillai. In Proceedings of the 2006 IEEE International Conference on Robotics and Automation (ICRA '06), May 1990.
Power
Analysis and Modeling of Capacitive Power Transfer in Microsystems
Mustafa Emre Karagozler, Seth Copen Goldstein, and David S. Ricketts. Circuits and Systems I: Regular Papers, IEEE Transactions on, 59(7):1557–1566, Jul 1990.
Magnetic resonant coupling as a potential means for wireless power transfer to multiple small receivers
Benjamin L. Cannon, James F. Hoburg, Daniel D. Stancil, and Seth Copen Goldstein. IEEE Transactions on Power Electronics, 24(7), Jul 1990.
Why area might reduce power in nanoscale CMOS
Paul Beckett and Seth Copen Goldstein. In IEEE International Symposium on Circuits and Systems, 2005, (ISCAS 2005), volume 3, pages 2329–2332, May 1990.
Probabilistic Inference
Internal Localization of Modular Robot Ensembles
Stanislav Funiak, Padmanabhan Pillai, Jason D. Campbell, and Seth Copen Goldstein. In Workshop on Self-Reconfiguring Modular Robotics at the IEEE International Conference on Intelligent Robots and Systems (IROS) '07, Oct 1990.
Programmable Matter
Scalable Shape Sculpting via Hole Motion: Motion Planning in Lattice-Constrained Module Robots
Michael De Rosa, Seth Copen Goldstein, Peter Lee, Jason D. Campbell, and Padmanabhan Pillai. In Proceedings of the 2006 IEEE International Conference on Robotics and Automation (ICRA '06), May 1990.
Demo Abstract: Claytronics---highly scalable communications, sensing, and actuation networks.
Burak Aksak, Preethi Srinivas Bhat, Jason D. Campbell, Michael De Rosa, Stanislav Funiak, Phillip B. Gibbons, Seth Copen Goldstein, Carlos Guestrin, Ashish Gupta, Casey Helfrich, James F. Hoburg, Brian Kirby, James Kuffner, Peter Lee, Todd C. Mowry, Padmanabhan Pillai, Ram Ravichandran, Benjamin D. Rister, Srinivasan Seshan, Metin Sitti, and Haifeng Yu. In Proceedings of the 3rd international conference on Embedded networked sensor systems (SenSys), pages 299, 1990.
Programmable Matter
Seth Copen Goldstein, Jason D. Campbell, and Todd C. Mowry. IEEE Computer, 38(6):99–101, Jun 1990.
Assembly And Differentiation
Seth Copen Goldstein. In CRA Conference on Grand Research Challenges, Jun 1990.
Programming
Programming Modular Robots with Locally Distributed Predicates
Michael De Rosa, Seth Copen Goldstein, Peter Lee, Jason D. Campbell, and Padmanabhan Pillai. In Proceedings of the IEEE International Conference on Robotics and Automation ICRA '08, 1990.
Programming Environments
Blinky blocks: a physical ensemble programming platform
Brian T. Kirby, Michael Ashley-Rollman, and Seth Copen Goldstein. In CHI '11 Extended Abstracts on Human Factors in Computing Systems, pages 1111–1116, 1990.
Programming Languages
A Language for Large Ensembles of Independently Executing Nodes
Michael P. Ashley-Rollman, Peter Lee, Seth Copen Goldstein, Padmanabhan Pillai, and Jason D. Campbell. In Proceedings of the International Conference on Logic Programming (ICLP '09), Jul 1990.
Meld: A Declarative Approach to Programming Ensembles
Michael P. Ashley-Rollman, Seth Copen Goldstein, Peter Lee, Todd C. Mowry, and Padmanabhan Pillai. In Proceedings of the IEEE International Conference on Intelligent Robots and Systems (IROS '07), Oct 1990.
Programming Models
Declarative Programming for Modular Robots
Michael P. Ashley-Rollman, Michael De Rosa, Siddhartha S. Srinivasa, Padmanabhan Pillai, Seth Copen Goldstein, and Jason D. Campbell. In Workshop on Self-Reconfigurable Robots/Systems and Applications at IROS '07, Oct 1990.
Reconfigurable Computing
Tartan: Evaluating Spatial Computation for Whole Program Execution
Mahim Mishra, Timothy J Callahan, Tiberiu Chelcea, Girish Venkataramani, Mihai Budiu, and Seth Copen Goldstein. In 12th ACM International Conference on Architecture Support for Programming Languages and Operating Systems (ASPLOS), pages 163–174, Oct 1990.
Computing Without Processors
Seth Copen Goldstein. In International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA'04), pages 29–32, Jun 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Nano, Quantum and Molecular Computing: Implications to High Level Design and Validation, 1990.
Translating ANSI C to Asynchronous Circuits
Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In 10th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC '04), Apr 1990.
Defect Tolerance After the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the 10th International Test Synthesis Workshop (ITSW), Mar 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the International Test Conference (ITC), 2003, Sep 1990.
Molecules, Gates, Circuits, Computer
Seth Copen Goldstein and Mihai Budiu. In Molecular Nanoelectronics, Jan 1990.
Optimizing Memory Accesses For Spatial Computation
Mihai Budiu and Seth Copen Goldstein. In Proceedings of the 1st International ACM/IEEE Symposium on Code Generation and Optimization (CGO 03), pages 216–227, Mar 1990.
Reconfigurable Computing and Electronic Nanotechnology
Seth Copen Goldstein, Mihai Budiu, Mahim Mishra, and Girish Venkataramani. In Proceedings of the IEEE 14th International Conference on Application-specific Systems, Architectures and Processors (ASAP 2003), pages 132–143, Jun 1990.
Reconfigurable Nanoelectronics and Defect Tolerance
Seth Copen Goldstein. In Proceedings of High-level design, verification, and test, 1990.
Factors Influencing the Performance of a CPU-RFU Hybrid Architecture
Girish Venkataramani, Suraj Sudhir, Mihai Budiu, and Seth Copen Goldstein. In Proceedings of the 12th International Conference on Field Programmable Logic and Applications (FPL), pages 955–965, Sep 1990.
Memory: Improving Memory Locality in Very Large Reconfigurable Fabrics
Rong Yan and Seth Copen Goldstein. In Proceedings of 2002 IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), Apr 1990.
Molecular electronics: devices, systems and tools for gigagate,gigabit chips
Michael Butts, Andre DeHon, and Seth Copen Goldstein. In International Conference on Computer-Aided Design ( ICCAD '02), pages 433–440, Nov 1990.
Peer-to-peer Hardware-Software Interfaces for Reconfigurable Fabrics
Mihai Budiu, Mahim Mishra, Ashwin Bharambe, and Seth Copen Goldstein. In Proceedings of 2002 IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), pages 57–66, Apr 1990.
Pegasus: An Efficient Intermediate Representation
Mihai Budiu and Seth Copen Goldstein. Carnegie Mellon University Technical Report No. CMU-CS-02-107, pages 20, May 1990.
Scalable Defect Tolerance for Molecular Electronics
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the 1st Workshop on Non-Silicon Computing (NSC-1), 1990.
Configuration Caching and Swapping
Suraj Sudhir, Suman Nath, and Seth Copen Goldstein. In 11th International Conference on Field Programmable Logic and Applications, Aug 1990.
Electronic Nanotechnology and Reconfigurable Computing
Seth Copen Goldstein. In Proceedings of the IEEE Computer Society Workshop VLSI 2001, pages 10, Apr 1990.
Static Profile-driven Compilation for FPGAs
Srihari Cadambi and Seth Copen Goldstein. In Proceedings of the 11th International Conference on Field-Programmable Logic and Applications, Aug 1990.
NanoFabrics: Spatial Computing Using Molecular Electronics
Seth Copen Goldstein and Mihai Budiu. In Proceedings of the 28th International Symposium on Computer Architecture (ISCA), pages 178–189, Jul 1990.
BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations
Mihai Budiu and Seth Copen Goldstein. Carnegie Mellon University Technical Report, Jun 1990. See budiu-europar00.
Interfacing Reconfigurable Logic with a CPU
Kevin Walker, Mihai Budiu, and Seth Copen Goldstein. In 2000 IEEE Symposium on Field-Programmable Custom Computing Machines, pages 317–318, 1990.
NanoFabrics: Extending Moore's Law Beyond the CMOS Era
Seth Copen Goldstein. In The 10th International Conference on Architectural Support for Programming Languages and Operating Systems. (ASPLOS 'IX), Nov 1990.
Pipeline Reconfigurable FPGAs
Herman Schmit, Seth Copen Goldstein, Srihari Cadambi, and Matthew Moe. In Field-Programmable Custom Computing Technology: Architecture, Tools, and Applications, 1990.
Pipeline Reconfigurable FPGAs
Herman Schmit, Srihari Cadambi, Matthew Moe, and Seth Copen Goldstein. Journal of VLSI Signal Processing Systems, 33(4):70–77, Apr 1990. Also appeared as chapter in Field-Programmable Custom Computing Technology: Architecture, Tools, and Applications.
Tunable Fault Tolerance for Runtime Reconfigurable Architectures
Steven K. Sinha, Peter M. Kamarchik, and Seth Copen Goldstein. In 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), pages 185–192, Apr 1990.
BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations
Mihai Budiu, Majd Sakr, Kevin Walker, and Seth Copen Goldstein. In Proceedings of the 2000 Europar Conference, volume 1900, pages 969–979, Aug 1990. Also appeared as CMU CS Technical Report, CMU-CS-00-141, October 2000..
PipeRench: A Reconfigurable Architecture and Compiler
Seth Copen Goldstein, Herman Schmit, Mihai Budiu, Srihari Cadambi, Matthew Moe, and R. Reed Taylor. IEEE Computer, 33(4):70–77, Apr 1990.
A High-Performance Flexible Architecture for Cryptography
R. Reed Taylor and Seth Copen Goldstein. In Proceedings of the Workshop on Cryptographic Hardware and Embedded Systems 1999 (CHES99), pages 231–245, Aug 1990.
CPR: A Configuration Profiling Tool
Srihari Cadambi and Seth Copen Goldstein. In 7th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '99), pages 104, Apr 1990.
Fast Compilation for Pipelined Reconfigurable Fabrics
Mihai Budiu and Seth Copen Goldstein. In Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays (FPGA '99), pages 195–205, Feb 1990.
PipeRench: a Coprocessor for Streaming Multimedia Acceleration
Seth Copen Goldstein, Herman Schmit, Matthew Moe, Mihai Budiu, Srihari Cadambi, R. Reed Taylor, and Ronald Laufer. In Proceedings of the 26th International Symposium on Computer Architecture (ISCA), pages 28–39, May 1990.
Characterization and Parameterization of a Pipeline Reconfigurable FGPA
Matthew Moe, Herman Schmit, and Seth Copen Goldstein. In 6th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), pages 294–295, Apr 1990.
Managing pipeline-reconfigurable FPGAs
Srihari Cadambi, J. Weener, Seth Copen Goldstein, Herman Schmit, and Donald E Thomas. In Proceedings of the 1998 ACM/SIGDA Sixth International Symposium on Field Programmable Gate Arrays, pages 55–64, Feb 1990.
Robot Fabrication
Stress-Driven MEMS Assembly + Electrostatic Forces = 1mm Diameter Robot
Mustafa Emre Karagozler, Seth Copen Goldstein, and James Robert Reid. In Proceedings of the IEEE International Conference on Intelligent Robots and Systems (IROS '09), Oct 1990. See karagozler-iros09.
Robotics
Generalizing Metamodules to Simplify Planning in Modular Robotic Systems
Daniel Dewey, Siddhartha S. Srinivasa, Michael P. Ashley-Rollman, Michael De Rosa, Padmanabhan Pillai, Todd C. Mowry, Jason D. Campbell, and Seth Copen Goldstein. In Proceedings of IEEE/RSJ 2008 International Conference on Intelligent Robots and Systems IROS '08, Sep 1990.
Movement Primitives for an Orthogonal Prismatic Closed-Lattice-Constrained Self-Reconfiguring Module
Michael Philetus Weller, Mustafa Emre Karagozler, Brian Kirby, Jason D. Campbell, and Seth Copen Goldstein. In Workshop on Self-Reconfiguring Modular Robotics at the IEEE International Conference on Intelligent Robots and Systems (IROS) '07, Oct 1990.
Ultralight Modular Robotic Building blocks for the Rapid Deployment of Planetary Outposts
Mustafa Emre Karagozler, Brian Kirby, W.J. Lee, Eugene Marinelli, T.C. Ng, Michael Weller, and Seth Copen Goldstein. In Revolutionary Aerospace Systems Concepts Academic Linkage (RASC-AL) Forum 2006, May 1990.
Catoms: Moving Robots Without Moving Parts
Brian Kirby, Jason D. Campbell, Burak Aksak, Padmanabhan Pillai, James F. Hoburg, Todd C. Mowry, and Seth Copen Goldstein. In AAAI (Robot Exhibition), pages 1730–1, Jul 1990.
The Ensemble Principle
Seth Copen Goldstein, Todd C. Mowry, Jason D. Campbell, Peter Lee, Padmanabhan Pillai, James F. Hoburg, Phillip B. Gibbons, Carlos Guestrin, James Kuffner, Brian Kirby, Benjamin D. Rister, Michael De Rosa, Stanislav Funiak, Burak Aksak, and Rahul Sukthankar. In 13th Foresight Conference of Advanced Nanotechnogy, Oct 1990.
The Robot is the Tether: Active, Adaptive Power Routing for Modular Robots With Unary Inter-robot Connectors
Jason D. Campbell, Padmanabhan Pillai, and Seth Copen Goldstein. In IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS 2005), pages 4108–15, Aug 1990.
Claytronics: A scalable basis for future robots
Seth Copen Goldstein and Todd C. Mowry. In RoboSphere 2004, Nov 1990.
Robots
Blinky blocks: a physical ensemble programming platform
Brian T. Kirby, Michael Ashley-Rollman, and Seth Copen Goldstein. In CHI '11 Extended Abstracts on Human Factors in Computing Systems, pages 1111–1116, 1990.
Self-Assembly
Brain in a Bottle
Seth Copen Goldstein. In Wild and Crazy Ideas Session of ASPLOS, Oct 1990.
Sensing
Internal Localization of Modular Robot Ensembles
Stanislav Funiak, Padmanabhan Pillai, Jason D. Campbell, and Seth Copen Goldstein. In Workshop on Self-Reconfiguring Modular Robotics at the IEEE International Conference on Intelligent Robots and Systems (IROS) '07, Oct 1990.
Si Backside Carrier Substrate;electrostatic Actuation Electrodes;energy Efficient Control;low Power Digital Design;micro Robots;parasitic FET Gates;post-processed High-voltage SOI CMOS Chip;power Conversion Circuit;CMOS Integrated Circuits;electrodes;electrostatic Actuators;microrobots;silicon-on-insulator;
Electrostatic actuation and control of micro robots using a post-processed high-voltage SOI CMOS chip
Mustafa Emre Karagozler, A. Thaker, Seth Copen Goldstein, and David S. Ricketts. In Circuits and Systems (ISCAS), 2011 IEEE International Symposium on, pages 2509–2512, May 1990.
Spatial Computing
Hardware Compilation of Application-Specific Memory Access Interconnect
Girish Venkataramani, Tobias Bjerregaard, Tiberiu Chelcea, and Seth Copen Goldstein. IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, 25(5):756–771, 1990.
Leveraging Protocol Knowledge in Slack Matching
Girish Venkataramani and Seth Copen Goldstein. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 1990.
Modeling the Global Critical Path in Concurrent Systems
Girish Venkataramani, Tiberiu Chelcea, Mihai Budiu, and Seth Copen Goldstein. Carnegie Mellon University Technical Report No. CMU-CS-06-144, Aug 1990.
Tartan: Evaluating Spatial Computation for Whole Program Execution
Mahim Mishra, Timothy J Callahan, Tiberiu Chelcea, Girish Venkataramani, Mihai Budiu, and Seth Copen Goldstein. In 12th ACM International Conference on Architecture Support for Programming Languages and Operating Systems (ASPLOS), pages 163–174, Oct 1990.
Dataflow: A Complement to Superscalar
Mihai Budiu, Pedro V. Artigas, and Seth Copen Goldstein. In IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pages 177–186, Mar 1990.
SOMA: A Tool for Synthesizing and Optimizing Memory Accesses in ASICs
Girish Venkataramani, Tobias Bjerregaard, Tiberiu Chelcea, and Seth Copen Goldstein. In IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES-ISSS), pages 231–236, Sep 1990.
HLS Support for Unconstrained Memory Accesses
Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In IEEE 14th International Workshop on Logic Synthesis (IWLS), Jun 1990.
Spatial Computation
Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 14–26, Oct 1990.
Translating ANSI C to Asynchronous Circuits
Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In 10th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC '04), Apr 1990.
C to Asynchronous Dataflow Circuits: An End-to-End Toolflow
Girish Venkataramani, Mihai Budiu, Tiberiu Chelcea, and Seth Copen Goldstein. In IEEE 13th International Workshop on Logic Synthesis (IWLS), Jun 1990.
Defect Tolerance After the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the 10th International Test Synthesis Workshop (ITSW), Mar 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the International Test Conference (ITC), 2003, Sep 1990.
Molecules, Gates, Circuits, Computer
Seth Copen Goldstein and Mihai Budiu. In Molecular Nanoelectronics, Jan 1990.
Optimizing Memory Accesses For Spatial Computation
Mihai Budiu and Seth Copen Goldstein. In Proceedings of the 1st International ACM/IEEE Symposium on Code Generation and Optimization (CGO 03), pages 216–227, Mar 1990.
Compiling Application-Specific Hardware
Mihai Budiu and Seth Copen Goldstein. In Proceedings of the 12th International Conference on Field Programmable Logic and Applications, pages 853–863, Sep 1990.
Factors Influencing the Performance of a CPU-RFU Hybrid Architecture
Girish Venkataramani, Suraj Sudhir, Mihai Budiu, and Seth Copen Goldstein. In Proceedings of the 12th International Conference on Field Programmable Logic and Applications (FPL), pages 955–965, Sep 1990.
Pegasus: An Efficient Intermediate Representation
Mihai Budiu and Seth Copen Goldstein. Carnegie Mellon University Technical Report No. CMU-CS-02-107, pages 20, May 1990.
NanoFabrics: Spatial Computing Using Molecular Electronics
Seth Copen Goldstein and Mihai Budiu. In Proceedings of the 28th International Symposium on Computer Architecture (ISCA), pages 178–189, Jul 1990.
BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations
Mihai Budiu, Majd Sakr, Kevin Walker, and Seth Copen Goldstein. In Proceedings of the 2000 Europar Conference, volume 1900, pages 969–979, Aug 1990. Also appeared as CMU CS Technical Report, CMU-CS-00-141, October 2000..
Split-C
Lazy Threads Compiler and Runtime Structures for Fine-Grained Parallel Programming
Seth Copen Goldstein. PhD Thesis, University of California--Berkeley, 1990.
Introduction to Split-C
David E. Culler, Andrea Dusseau, Seth Copen Goldstein, Arvind Krishnamurthy, Steven Lumetta, Thorsten von Eicken, and Katherine Yelick. University of California--Berkeley Technical Report, Apr 1990.
System Modeling
Global Critical Path: A Tool for System-Level Timing Analysis
Girish Venkataramani, Mihai Budiu, Tiberiu Chelcea, and Seth Copen Goldstein. In Proceedings of the 44th ACM/IEEE Design Automation Conference, pages 783–786, Jun 1990.
Tangible UI
Blinky blocks: a physical ensemble programming platform
Brian T. Kirby, Michael Ashley-Rollman, and Seth Copen Goldstein. In CHI '11 Extended Abstracts on Human Factors in Computing Systems, pages 1111–1116, 1990.
Tartan
Tartan: Evaluating Spatial Computation for Whole Program Execution
Mahim Mishra, Timothy J Callahan, Tiberiu Chelcea, Girish Venkataramani, Mihai Budiu, and Seth Copen Goldstein. In 12th ACM International Conference on Architecture Support for Programming Languages and Operating Systems (ASPLOS), pages 163–174, Oct 1990.
Threaded Abstract Machine (TAM)
Lazy Threads Compiler and Runtime Structures for Fine-Grained Parallel Programming
Seth Copen Goldstein. PhD Thesis, University of California--Berkeley, 1990.
The Implementation of a Threaded Abstract Machine
Seth Copen Goldstein. Master's Thesis, University of California at Berkeley, May 1990. Also appeared as UC-Berkeley Technical Report UCB/CSD-94-818.
The Implementation of a Threaded Abstract Machine
Seth Copen Goldstein. EECS Department, University of California, Berkeley Technical Report No. UCB/CSD-94-818, 1990. See goldstein94.
Evaluation of Mechanisms for Fine-Grained Parallel Programs in the J-Machine and the CM-5
Ellen Spertus, Seth Copen Goldstein, Klaus Erik Schauser, Thorsten von Eicken, David E. Culler, and William J. Dally. In Proceedings of the 20th International Symposium on Computer Architecture (ISCA), May 1990.
TAM --- a compiler controlled threaded abstract machine
David E. Culler, Seth Copen Goldstein, Klaus Erik Schauser, and Thorsten von Eicken. Journal of Parallel and Distributed Computing, volume 18, pages 347–370, Jul 1990.
Studying Dynamic Program Behavior `In the Large'
Seth Copen Goldstein. In ID Workshop, Nov 1990.
Supporting Implicitly Parallel Languages
Seth Copen Goldstein. In 14th Annual Industrial Liaison Program Conference, Mar 1990.
Empirical Study of a Dataflow Language on the CM-5
David E. Culler, Seth Copen Goldstein, Klaus Erik Schauser, and Thorsten von Eicken. In Proc. of the Dataflow Workshop, 19th Int'l Symposium on Computer Architecture, pages 187–210, May 1990.
Two-Terminal Devices
Methods of chemically assembled electronic nanotechnology circuit fabrication
Seth Copen Goldstein and Daniel L. Rosewater. United States Patent No. 7,064,000. Issued June 20, 2006, Jul 1990.
Digital Logic Using Molecular Electronics
Dan Rosewater and Seth Copen Goldstein. In IEEE International Solid-State Circuits Conference (ISSCC), Feb 1990.
Molecular scale latch and associated clocking scheme to provide gain, memory and I/O isolation
Seth Copen Goldstein and Daniel L. Rosewater. United States Patent No. 6,777,982. Issued August 17, 2004, Apr 1990.