Fault Tolerance in Run-time Reconfigurable Architectures

 

In IEEE Symposium on FPGAs for Custom Computing Machines (FCCM '00)

Peter M. Kamarchik, Steven Sinha, and Seth Copen Goldstein

Napa, CA

Apr 1990


@inproceedings{KSS00,
  author = {Kamarchik, Peter M. and Sinha, Steven and Goldstein, Seth
     Copen},
  title = {Fault Tolerance in Run-time Reconfigurable Architectures},
  booktitle = {IEEE Symposium on FPGAs for Custom Computing Machines
     (FCCM '00)},
  year = {2000},
  month = {Apr},
  address = {Napa, CA},
  keywords = {PipeRench, Fault and Defect Tolerance},
}

Related Papers

Fault And Defect Tolerance
Nonphotolithographic Nanoscale Memory Density Prospects
Andre DeHon, Seth Copen Goldstein, Phil Kuekes, and Patrick Lincoln. IEEE Transactions on Nanotechnology, volume 4, pages 215–228, Mar 1990.
Computing Without Processors
Seth Copen Goldstein. In International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA'04), pages 29–32, Jun 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Nano, Quantum and Molecular Computing: Implications to High Level Design and Validation, 1990.
Translating ANSI C to Asynchronous Circuits
Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In 10th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC '04), Apr 1990.
Defect Tolerance After the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the 10th International Test Synthesis Workshop (ITSW), Mar 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the International Test Conference (ITC), 2003, Sep 1990.
Molecules, Gates, Circuits, Computer
Seth Copen Goldstein and Mihai Budiu. In Molecular Nanoelectronics, Jan 1990.
Nano, Quantum, and Molecular Computing: Are We Ready for the Validation and Test Challenges
Sandeep K. Shukla, Ramesh Karri, Seth Copen Goldstein, Forest Brewer, Kaustav Banerjee, and Sankar Basu. In Eighth IEEE International High-Level Design Validation and Test Workshop, pages 307, Nov 1990.
Reconfigurable Nanoelectronics and Defect Tolerance
Seth Copen Goldstein. In Proceedings of High-level design, verification, and test, 1990.
Scalable Defect Tolerance for Molecular Electronics
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the 1st Workshop on Non-Silicon Computing (NSC-1), 1990.
Electronic Nanotechnology and Reconfigurable Computing
Seth Copen Goldstein. In Proceedings of the IEEE Computer Society Workshop VLSI 2001, pages 10, Apr 1990.
Fault Tolerance in Run-time Reconfigurable Architectures
Peter M. Kamarchik, Steven Sinha, and Seth Copen Goldstein. In IEEE Symposium on FPGAs for Custom Computing Machines (FCCM '00), Apr 1990.
NanoFabrics: Extending Moore's Law Beyond the CMOS Era
Seth Copen Goldstein. In The 10th International Conference on Architectural Support for Programming Languages and Operating Systems. (ASPLOS 'IX), Nov 1990.
Tunable Fault Tolerance for Runtime Reconfigurable Architectures
Steven K. Sinha, Peter M. Kamarchik, and Seth Copen Goldstein. In 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), pages 185–192, Apr 1990.
Tunable Fault Tolernace via Test and Reconfiguration
Shawn Blanton, Seth Copen Goldstein, and Herman Schmit. In Digest of FastAbstracts of the 28th Annual International Symposium on Fault-Tolerant Computing, pages 9–10, Jun 1990.
PipeRench
Fault Tolerance in Run-time Reconfigurable Architectures
Peter M. Kamarchik, Steven Sinha, and Seth Copen Goldstein. In IEEE Symposium on FPGAs for Custom Computing Machines (FCCM '00), Apr 1990.
Pipeline Reconfigurable FPGAs
Herman Schmit, Seth Copen Goldstein, Srihari Cadambi, and Matthew Moe. In Field-Programmable Custom Computing Technology: Architecture, Tools, and Applications, 1990.
Pipeline Reconfigurable FPGAs
Herman Schmit, Srihari Cadambi, Matthew Moe, and Seth Copen Goldstein. Journal of VLSI Signal Processing Systems, 33(4):70–77, Apr 1990. Also appeared as chapter in Field-Programmable Custom Computing Technology: Architecture, Tools, and Applications.
Tunable Fault Tolerance for Runtime Reconfigurable Architectures
Steven K. Sinha, Peter M. Kamarchik, and Seth Copen Goldstein. In 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), pages 185–192, Apr 1990.
BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations
Mihai Budiu, Majd Sakr, Kevin Walker, and Seth Copen Goldstein. In Proceedings of the 2000 Europar Conference, volume 1900, pages 969–979, Aug 1990. Also appeared as CMU CS Technical Report, CMU-CS-00-141, October 2000..
PipeRench: A Reconfigurable Architecture and Compiler
Seth Copen Goldstein, Herman Schmit, Mihai Budiu, Srihari Cadambi, Matthew Moe, and R. Reed Taylor. IEEE Computer, 33(4):70–77, Apr 1990.
A High-Performance Flexible Architecture for Cryptography
R. Reed Taylor and Seth Copen Goldstein. In Proceedings of the Workshop on Cryptographic Hardware and Embedded Systems 1999 (CHES99), pages 231–245, Aug 1990.
Fast Compilation for Pipelined Reconfigurable Fabrics
Mihai Budiu and Seth Copen Goldstein. In Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays (FPGA '99), pages 195–205, Feb 1990.
PipeRench: a Coprocessor for Streaming Multimedia Acceleration
Seth Copen Goldstein, Herman Schmit, Matthew Moe, Mihai Budiu, Srihari Cadambi, R. Reed Taylor, and Ronald Laufer. In Proceedings of the 26th International Symposium on Computer Architecture (ISCA), pages 28–39, May 1990.
Tunable Fault Tolernace via Test and Reconfiguration
Shawn Blanton, Seth Copen Goldstein, and Herman Schmit. In Digest of FastAbstracts of the 28th Annual International Symposium on Fault-Tolerant Computing, pages 9–10, Jun 1990.
Characterization and Parameterization of a Pipeline Reconfigurable FGPA
Matthew Moe, Herman Schmit, and Seth Copen Goldstein. In 6th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), pages 294–295, Apr 1990.
Managing pipeline-reconfigurable FPGAs
Srihari Cadambi, J. Weener, Seth Copen Goldstein, Herman Schmit, and Donald E Thomas. In Proceedings of the 1998 ACM/SIGDA Sixth International Symposium on Field Programmable Gate Arrays, pages 55–64, Feb 1990.


Back to publications list