NanoFabrics: Extending Moore's Law Beyond the CMOS Era

 

In The 10th International Conference on Architectural Support for Programming Languages and Operating Systems. (ASPLOS 'IX)

Seth Copen Goldstein

Cambridge, MA

Nov 1990


download pdf


@inproceedings{goldstein-asplos00,
  title = {NanoFabrics: Extending Moore's Law Beyond the CMOS Era},
  url = {http://www.cs.cmu.edu/~seth/papers/goldstein-asplos00.pdf},
  booktitle = {The 10th International Conference on Architectural
     Support for Programming Languages and Operating Systems. (ASPLOS
     'IX)},
  author = {Goldstein, Seth Copen},
  address = {Cambridge, MA},
  year = {2000},
  month = {Nov},
  keywords = {Electronic Nanotechnology,Fault and Defect
     Tolerance,Molecular Electronics,Reconfigurable Computing},
}

Related Papers

Fault And Defect Tolerance
Nonphotolithographic Nanoscale Memory Density Prospects
Andre DeHon, Seth Copen Goldstein, Phil Kuekes, and Patrick Lincoln. IEEE Transactions on Nanotechnology, volume 4, pages 215–228, Mar 1990.
Computing Without Processors
Seth Copen Goldstein. In International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA'04), pages 29–32, Jun 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Nano, Quantum and Molecular Computing: Implications to High Level Design and Validation, 1990.
Translating ANSI C to Asynchronous Circuits
Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In 10th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC '04), Apr 1990.
Defect Tolerance After the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the 10th International Test Synthesis Workshop (ITSW), Mar 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the International Test Conference (ITC), 2003, Sep 1990.
Molecules, Gates, Circuits, Computer
Seth Copen Goldstein and Mihai Budiu. In Molecular Nanoelectronics, Jan 1990.
Nano, Quantum, and Molecular Computing: Are We Ready for the Validation and Test Challenges
Sandeep K. Shukla, Ramesh Karri, Seth Copen Goldstein, Forest Brewer, Kaustav Banerjee, and Sankar Basu. In Eighth IEEE International High-Level Design Validation and Test Workshop, pages 307, Nov 1990.
Reconfigurable Nanoelectronics and Defect Tolerance
Seth Copen Goldstein. In Proceedings of High-level design, verification, and test, 1990.
Scalable Defect Tolerance for Molecular Electronics
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the 1st Workshop on Non-Silicon Computing (NSC-1), 1990.
Electronic Nanotechnology and Reconfigurable Computing
Seth Copen Goldstein. In Proceedings of the IEEE Computer Society Workshop VLSI 2001, pages 10, Apr 1990.
Fault Tolerance in Run-time Reconfigurable Architectures
Peter M. Kamarchik, Steven Sinha, and Seth Copen Goldstein. In IEEE Symposium on FPGAs for Custom Computing Machines (FCCM '00), Apr 1990.
NanoFabrics: Extending Moore's Law Beyond the CMOS Era
Seth Copen Goldstein. In The 10th International Conference on Architectural Support for Programming Languages and Operating Systems. (ASPLOS 'IX), Nov 1990.
Tunable Fault Tolerance for Runtime Reconfigurable Architectures
Steven K. Sinha, Peter M. Kamarchik, and Seth Copen Goldstein. In 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), pages 185–192, Apr 1990.
Tunable Fault Tolernace via Test and Reconfiguration
Shawn Blanton, Seth Copen Goldstein, and Herman Schmit. In Digest of FastAbstracts of the 28th Annual International Symposium on Fault-Tolerant Computing, pages 9–10, Jun 1990.
Molecular Electronics
Nonphotolithographic Nanoscale Memory Density Prospects
Andre DeHon, Seth Copen Goldstein, Phil Kuekes, and Patrick Lincoln. IEEE Transactions on Nanotechnology, volume 4, pages 215–228, Mar 1990.
The impact of the nanoscale on computing systems
Seth Copen Goldstein. In IEEE/ACM International Conference on Computer-Aided Design, 2005 (ICCAD 2005), pages 655–661, Nov 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Nano, Quantum and Molecular Computing: Implications to High Level Design and Validation, 1990.
Methods of chemically assembled electronic nanotechnology circuit fabrication
Seth Copen Goldstein and Daniel L. Rosewater. United States Patent No. 7,064,000. Issued June 20, 2006, Jul 1990.
Molecules, Gates, Circuits, Computer
Seth Copen Goldstein and Mihai Budiu. In Molecular Nanoelectronics, Jan 1990.
Nano, Quantum, and Molecular Computing: Are We Ready for the Validation and Test Challenges
Sandeep K. Shukla, Ramesh Karri, Seth Copen Goldstein, Forest Brewer, Kaustav Banerjee, and Sankar Basu. In Eighth IEEE International High-Level Design Validation and Test Workshop, pages 307, Nov 1990.
Digital Logic Using Molecular Electronics
Dan Rosewater and Seth Copen Goldstein. In IEEE International Solid-State Circuits Conference (ISSCC), Feb 1990.
Molecular electronics: devices, systems and tools for gigagate,gigabit chips
Michael Butts, Andre DeHon, and Seth Copen Goldstein. In International Conference on Computer-Aided Design ( ICCAD '02), pages 433–440, Nov 1990.
Molecular scale latch and associated clocking scheme to provide gain, memory and I/O isolation
Seth Copen Goldstein and Daniel L. Rosewater. United States Patent No. 6,777,982. Issued August 17, 2004, Apr 1990.
MolSpice: Designing Molecular Logic Circuits
Seth Copen Goldstein, James Ellenbogen, David Almassiam, Matt Brown, Mark Cannarsa, Jesse Klein, Schuyler Schell, Geoff Washburn, and Matthew M Ziegler. In Ninth Foresight Conference on Molecular Nanotechnology, Nov 1990.
NanoFabrics: Extending Moore's Law Beyond the CMOS Era
Seth Copen Goldstein. In The 10th International Conference on Architectural Support for Programming Languages and Operating Systems. (ASPLOS 'IX), Nov 1990.
Reconfigurable Computing
Tartan: Evaluating Spatial Computation for Whole Program Execution
Mahim Mishra, Timothy J Callahan, Tiberiu Chelcea, Girish Venkataramani, Mihai Budiu, and Seth Copen Goldstein. In 12th ACM International Conference on Architecture Support for Programming Languages and Operating Systems (ASPLOS), pages 163–174, Oct 1990.
Computing Without Processors
Seth Copen Goldstein. In International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA'04), pages 29–32, Jun 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Nano, Quantum and Molecular Computing: Implications to High Level Design and Validation, 1990.
Translating ANSI C to Asynchronous Circuits
Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In 10th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC '04), Apr 1990.
Defect Tolerance After the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the 10th International Test Synthesis Workshop (ITSW), Mar 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the International Test Conference (ITC), 2003, Sep 1990.
Molecules, Gates, Circuits, Computer
Seth Copen Goldstein and Mihai Budiu. In Molecular Nanoelectronics, Jan 1990.
Optimizing Memory Accesses For Spatial Computation
Mihai Budiu and Seth Copen Goldstein. In Proceedings of the 1st International ACM/IEEE Symposium on Code Generation and Optimization (CGO 03), pages 216–227, Mar 1990.
Reconfigurable Computing and Electronic Nanotechnology
Seth Copen Goldstein, Mihai Budiu, Mahim Mishra, and Girish Venkataramani. In Proceedings of the IEEE 14th International Conference on Application-specific Systems, Architectures and Processors (ASAP 2003), pages 132–143, Jun 1990.
Reconfigurable Nanoelectronics and Defect Tolerance
Seth Copen Goldstein. In Proceedings of High-level design, verification, and test, 1990.
Factors Influencing the Performance of a CPU-RFU Hybrid Architecture
Girish Venkataramani, Suraj Sudhir, Mihai Budiu, and Seth Copen Goldstein. In Proceedings of the 12th International Conference on Field Programmable Logic and Applications (FPL), pages 955–965, Sep 1990.
Memory: Improving Memory Locality in Very Large Reconfigurable Fabrics
Rong Yan and Seth Copen Goldstein. In Proceedings of 2002 IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), Apr 1990.
Molecular electronics: devices, systems and tools for gigagate,gigabit chips
Michael Butts, Andre DeHon, and Seth Copen Goldstein. In International Conference on Computer-Aided Design ( ICCAD '02), pages 433–440, Nov 1990.
Peer-to-peer Hardware-Software Interfaces for Reconfigurable Fabrics
Mihai Budiu, Mahim Mishra, Ashwin Bharambe, and Seth Copen Goldstein. In Proceedings of 2002 IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), pages 57–66, Apr 1990.
Pegasus: An Efficient Intermediate Representation
Mihai Budiu and Seth Copen Goldstein. Carnegie Mellon University Technical Report No. CMU-CS-02-107, pages 20, May 1990.
Scalable Defect Tolerance for Molecular Electronics
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the 1st Workshop on Non-Silicon Computing (NSC-1), 1990.
Configuration Caching and Swapping
Suraj Sudhir, Suman Nath, and Seth Copen Goldstein. In 11th International Conference on Field Programmable Logic and Applications, Aug 1990.
Electronic Nanotechnology and Reconfigurable Computing
Seth Copen Goldstein. In Proceedings of the IEEE Computer Society Workshop VLSI 2001, pages 10, Apr 1990.
Static Profile-driven Compilation for FPGAs
Srihari Cadambi and Seth Copen Goldstein. In Proceedings of the 11th International Conference on Field-Programmable Logic and Applications, Aug 1990.
NanoFabrics: Spatial Computing Using Molecular Electronics
Seth Copen Goldstein and Mihai Budiu. In Proceedings of the 28th International Symposium on Computer Architecture (ISCA), pages 178–189, Jul 1990.
BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations
Mihai Budiu and Seth Copen Goldstein. Carnegie Mellon University Technical Report, Jun 1990. See budiu-europar00.
Interfacing Reconfigurable Logic with a CPU
Kevin Walker, Mihai Budiu, and Seth Copen Goldstein. In 2000 IEEE Symposium on Field-Programmable Custom Computing Machines, pages 317–318, 1990.
NanoFabrics: Extending Moore's Law Beyond the CMOS Era
Seth Copen Goldstein. In The 10th International Conference on Architectural Support for Programming Languages and Operating Systems. (ASPLOS 'IX), Nov 1990.
Pipeline Reconfigurable FPGAs
Herman Schmit, Seth Copen Goldstein, Srihari Cadambi, and Matthew Moe. In Field-Programmable Custom Computing Technology: Architecture, Tools, and Applications, 1990.
Pipeline Reconfigurable FPGAs
Herman Schmit, Srihari Cadambi, Matthew Moe, and Seth Copen Goldstein. Journal of VLSI Signal Processing Systems, 33(4):70–77, Apr 1990. Also appeared as chapter in Field-Programmable Custom Computing Technology: Architecture, Tools, and Applications.
Tunable Fault Tolerance for Runtime Reconfigurable Architectures
Steven K. Sinha, Peter M. Kamarchik, and Seth Copen Goldstein. In 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), pages 185–192, Apr 1990.
BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations
Mihai Budiu, Majd Sakr, Kevin Walker, and Seth Copen Goldstein. In Proceedings of the 2000 Europar Conference, volume 1900, pages 969–979, Aug 1990. Also appeared as CMU CS Technical Report, CMU-CS-00-141, October 2000..
PipeRench: A Reconfigurable Architecture and Compiler
Seth Copen Goldstein, Herman Schmit, Mihai Budiu, Srihari Cadambi, Matthew Moe, and R. Reed Taylor. IEEE Computer, 33(4):70–77, Apr 1990.
A High-Performance Flexible Architecture for Cryptography
R. Reed Taylor and Seth Copen Goldstein. In Proceedings of the Workshop on Cryptographic Hardware and Embedded Systems 1999 (CHES99), pages 231–245, Aug 1990.
CPR: A Configuration Profiling Tool
Srihari Cadambi and Seth Copen Goldstein. In 7th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '99), pages 104, Apr 1990.
Fast Compilation for Pipelined Reconfigurable Fabrics
Mihai Budiu and Seth Copen Goldstein. In Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays (FPGA '99), pages 195–205, Feb 1990.
PipeRench: a Coprocessor for Streaming Multimedia Acceleration
Seth Copen Goldstein, Herman Schmit, Matthew Moe, Mihai Budiu, Srihari Cadambi, R. Reed Taylor, and Ronald Laufer. In Proceedings of the 26th International Symposium on Computer Architecture (ISCA), pages 28–39, May 1990.
Characterization and Parameterization of a Pipeline Reconfigurable FGPA
Matthew Moe, Herman Schmit, and Seth Copen Goldstein. In 6th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), pages 294–295, Apr 1990.
Managing pipeline-reconfigurable FPGAs
Srihari Cadambi, J. Weener, Seth Copen Goldstein, Herman Schmit, and Donald E Thomas. In Proceedings of the 1998 ACM/SIGDA Sixth International Symposium on Field Programmable Gate Arrays, pages 55–64, Feb 1990.
Electronic Nanotechnology
Nonphotolithographic Nanoscale Memory Density Prospects
Andre DeHon, Seth Copen Goldstein, Phil Kuekes, and Patrick Lincoln. IEEE Transactions on Nanotechnology, volume 4, pages 215–228, Mar 1990.
The impact of the nanoscale on computing systems
Seth Copen Goldstein. In IEEE/ACM International Conference on Computer-Aided Design, 2005 (ICCAD 2005), pages 655–661, Nov 1990.
Why area might reduce power in nanoscale CMOS
Paul Beckett and Seth Copen Goldstein. In IEEE International Symposium on Circuits and Systems, 2005, (ISCAS 2005), volume 3, pages 2329–2332, May 1990.
Computing Without Processors
Seth Copen Goldstein. In International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA'04), pages 29–32, Jun 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Nano, Quantum and Molecular Computing: Implications to High Level Design and Validation, 1990.
The Challenges and Opportunities of Nanoelectronics
Seth Copen Goldstein. In Proceedings of Government Microcircuit Applications and Critical Technology Conference (GOMAC Tech 04), Mar 1990.
Translating ANSI C to Asynchronous Circuits
Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In 10th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC '04), Apr 1990.
Models and Abstractions for Nanoelectronics
Seth Copen Goldstein and Y Zhu. In Third IEEE Conference on Nanotechnology (IEEE-NANO 2003), Aug 1990.
Molecular Electronics: From Devices and Interconnect to Circuits and Architecture
Mircea R Stan, Paul D Franzon, Seth Copen Goldstein, John C Lach, and Matthew M Ziegler. Proceedings of the IEEE, 91(11), Nov 1990.
Molecules, Gates, Circuits, Computer
Seth Copen Goldstein and Mihai Budiu. In Molecular Nanoelectronics, Jan 1990.
Nano, Quantum, and Molecular Computing: Are We Ready for the Validation and Test Challenges
Sandeep K. Shukla, Ramesh Karri, Seth Copen Goldstein, Forest Brewer, Kaustav Banerjee, and Sankar Basu. In Eighth IEEE International High-Level Design Validation and Test Workshop, pages 307, Nov 1990.
Reconfigurable Computing and Electronic Nanotechnology
Seth Copen Goldstein, Mihai Budiu, Mahim Mishra, and Girish Venkataramani. In Proceedings of the IEEE 14th International Conference on Application-specific Systems, Architectures and Processors (ASAP 2003), pages 132–143, Jun 1990.
Reconfigurable Nanoelectronics and Defect Tolerance
Seth Copen Goldstein. In Proceedings of High-level design, verification, and test, 1990.
Digital Logic Using Molecular Electronics
Dan Rosewater and Seth Copen Goldstein. In IEEE International Solid-State Circuits Conference (ISSCC), Feb 1990.
From Molecules to Computers
Seth Copen Goldstein. In Tutorial at 35th Annual International Symposium on Microarchitecture (Micro 35), Nov 1990.
Molecular electronics: devices, systems and tools for gigagate,gigabit chips
Michael Butts, Andre DeHon, and Seth Copen Goldstein. In International Conference on Computer-Aided Design ( ICCAD '02), pages 433–440, Nov 1990.
What makes a good molecular computing device?
Daniel L. Rosewater and Seth Copen Goldstein. Carnegie Mellon University Technical Report No. CMU-CS-02-181, Sep 1990.
Electronic Nanotechnology and Reconfigurable Computing
Seth Copen Goldstein. In Proceedings of the IEEE Computer Society Workshop VLSI 2001, pages 10, Apr 1990.
MolSpice: Designing Molecular Logic Circuits
Seth Copen Goldstein, James Ellenbogen, David Almassiam, Matt Brown, Mark Cannarsa, Jesse Klein, Schuyler Schell, Geoff Washburn, and Matthew M Ziegler. In Ninth Foresight Conference on Molecular Nanotechnology, Nov 1990.
NanoFabrics: Spatial Computing Using Molecular Electronics
Seth Copen Goldstein and Mihai Budiu. In Proceedings of the 28th International Symposium on Computer Architecture (ISCA), pages 178–189, Jul 1990.
NanoFabrics: Extending Moore's Law Beyond the CMOS Era
Seth Copen Goldstein. In The 10th International Conference on Architectural Support for Programming Languages and Operating Systems. (ASPLOS 'IX), Nov 1990.


Back to publications list