Peer-to-peer Hardware-Software Interfaces for Reconfigurable Fabrics

 

In Proceedings of 2002 IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM)

Mihai Budiu, Mahim Mishra, Ashwin Bharambe, and Seth Copen Goldstein

pages 57–66, Napa Valley, CA

Apr 1990

Abstract


download pdf


@inproceedings{budiu-fccm02,
  author = {Budiu, Mihai and Mishra, Mahim and Bharambe, Ashwin and
     Goldstein, Seth Copen},
  title = {Peer-to-peer Hardware-Software Interfaces for
     Reconfigurable Fabrics},
  booktitle = {Proceedings of 2002 IEEE Symposium on
     Field-Programmable Custom Computing Machines (FCCM)},
  year = {2002},
  month = {Apr},
  pages = {57-66},
  address = {Napa Valley, CA},
  abstract = {In this paper we describe a peer-to-peer interface
     between processor cores and reconfigurable fabrics. The main
     advantage of the peer-to-peer model is that it greatly expands
     the scope of application for reconfigurable computing and hence
     its potential benefits. The primary extension in our model is
     that ``code'' on the reconfigurable hardware unit is allowed to
     invoke routines both on the reconfigurable unit itself and on the
     fixed logic processor. We describe the software constructs and
     compilation mechanisms needed for such an architecture, including
     a detailed description of the interface between the two parts of
     the application.},
  url = {http://www.cs.cmu.edu/~seth/papers/budiu-fccm02.pdf},
  keywords = {Reconfigurable Computing},
}

Related Papers

Reconfigurable Computing
Tartan: Evaluating Spatial Computation for Whole Program Execution
Mahim Mishra, Timothy J Callahan, Tiberiu Chelcea, Girish Venkataramani, Mihai Budiu, and Seth Copen Goldstein. In 12th ACM International Conference on Architecture Support for Programming Languages and Operating Systems (ASPLOS), pages 163–174, Oct 1990.
Computing Without Processors
Seth Copen Goldstein. In International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA'04), pages 29–32, Jun 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Nano, Quantum and Molecular Computing: Implications to High Level Design and Validation, 1990.
Translating ANSI C to Asynchronous Circuits
Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In 10th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC '04), Apr 1990.
Defect Tolerance After the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the 10th International Test Synthesis Workshop (ITSW), Mar 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the International Test Conference (ITC), 2003, Sep 1990.
Molecules, Gates, Circuits, Computer
Seth Copen Goldstein and Mihai Budiu. In Molecular Nanoelectronics, Jan 1990.
Optimizing Memory Accesses For Spatial Computation
Mihai Budiu and Seth Copen Goldstein. In Proceedings of the 1st International ACM/IEEE Symposium on Code Generation and Optimization (CGO 03), pages 216–227, Mar 1990.
Reconfigurable Computing and Electronic Nanotechnology
Seth Copen Goldstein, Mihai Budiu, Mahim Mishra, and Girish Venkataramani. In Proceedings of the IEEE 14th International Conference on Application-specific Systems, Architectures and Processors (ASAP 2003), pages 132–143, Jun 1990.
Reconfigurable Nanoelectronics and Defect Tolerance
Seth Copen Goldstein. In Proceedings of High-level design, verification, and test, 1990.
Factors Influencing the Performance of a CPU-RFU Hybrid Architecture
Girish Venkataramani, Suraj Sudhir, Mihai Budiu, and Seth Copen Goldstein. In Proceedings of the 12th International Conference on Field Programmable Logic and Applications (FPL), pages 955–965, Sep 1990.
Memory: Improving Memory Locality in Very Large Reconfigurable Fabrics
Rong Yan and Seth Copen Goldstein. In Proceedings of 2002 IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), Apr 1990.
Molecular electronics: devices, systems and tools for gigagate,gigabit chips
Michael Butts, Andre DeHon, and Seth Copen Goldstein. In International Conference on Computer-Aided Design ( ICCAD '02), pages 433–440, Nov 1990.
Peer-to-peer Hardware-Software Interfaces for Reconfigurable Fabrics
Mihai Budiu, Mahim Mishra, Ashwin Bharambe, and Seth Copen Goldstein. In Proceedings of 2002 IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), pages 57–66, Apr 1990.
Pegasus: An Efficient Intermediate Representation
Mihai Budiu and Seth Copen Goldstein. Carnegie Mellon University Technical Report No. CMU-CS-02-107, pages 20, May 1990.
Scalable Defect Tolerance for Molecular Electronics
Mahim Mishra and Seth Copen Goldstein. In Proceedings of the 1st Workshop on Non-Silicon Computing (NSC-1), 1990.
Configuration Caching and Swapping
Suraj Sudhir, Suman Nath, and Seth Copen Goldstein. In 11th International Conference on Field Programmable Logic and Applications, Aug 1990.
Electronic Nanotechnology and Reconfigurable Computing
Seth Copen Goldstein. In Proceedings of the IEEE Computer Society Workshop VLSI 2001, pages 10, Apr 1990.
Static Profile-driven Compilation for FPGAs
Srihari Cadambi and Seth Copen Goldstein. In Proceedings of the 11th International Conference on Field-Programmable Logic and Applications, Aug 1990.
NanoFabrics: Spatial Computing Using Molecular Electronics
Seth Copen Goldstein and Mihai Budiu. In Proceedings of the 28th International Symposium on Computer Architecture (ISCA), pages 178–189, Jul 1990.
BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations
Mihai Budiu and Seth Copen Goldstein. Carnegie Mellon University Technical Report, Jun 1990. See budiu-europar00.
Interfacing Reconfigurable Logic with a CPU
Kevin Walker, Mihai Budiu, and Seth Copen Goldstein. In 2000 IEEE Symposium on Field-Programmable Custom Computing Machines, pages 317–318, 1990.
NanoFabrics: Extending Moore's Law Beyond the CMOS Era
Seth Copen Goldstein. In The 10th International Conference on Architectural Support for Programming Languages and Operating Systems. (ASPLOS 'IX), Nov 1990.
Pipeline Reconfigurable FPGAs
Herman Schmit, Seth Copen Goldstein, Srihari Cadambi, and Matthew Moe. In Field-Programmable Custom Computing Technology: Architecture, Tools, and Applications, 1990.
Pipeline Reconfigurable FPGAs
Herman Schmit, Srihari Cadambi, Matthew Moe, and Seth Copen Goldstein. Journal of VLSI Signal Processing Systems, 33(4):70–77, Apr 1990. Also appeared as chapter in Field-Programmable Custom Computing Technology: Architecture, Tools, and Applications.
Tunable Fault Tolerance for Runtime Reconfigurable Architectures
Steven K. Sinha, Peter M. Kamarchik, and Seth Copen Goldstein. In 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), pages 185–192, Apr 1990.
BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations
Mihai Budiu, Majd Sakr, Kevin Walker, and Seth Copen Goldstein. In Proceedings of the 2000 Europar Conference, volume 1900, pages 969–979, Aug 1990. Also appeared as CMU CS Technical Report, CMU-CS-00-141, October 2000..
PipeRench: A Reconfigurable Architecture and Compiler
Seth Copen Goldstein, Herman Schmit, Mihai Budiu, Srihari Cadambi, Matthew Moe, and R. Reed Taylor. IEEE Computer, 33(4):70–77, Apr 1990.
A High-Performance Flexible Architecture for Cryptography
R. Reed Taylor and Seth Copen Goldstein. In Proceedings of the Workshop on Cryptographic Hardware and Embedded Systems 1999 (CHES99), pages 231–245, Aug 1990.
CPR: A Configuration Profiling Tool
Srihari Cadambi and Seth Copen Goldstein. In 7th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '99), pages 104, Apr 1990.
Fast Compilation for Pipelined Reconfigurable Fabrics
Mihai Budiu and Seth Copen Goldstein. In Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays (FPGA '99), pages 195–205, Feb 1990.
PipeRench: a Coprocessor for Streaming Multimedia Acceleration
Seth Copen Goldstein, Herman Schmit, Matthew Moe, Mihai Budiu, Srihari Cadambi, R. Reed Taylor, and Ronald Laufer. In Proceedings of the 26th International Symposium on Computer Architecture (ISCA), pages 28–39, May 1990.
Characterization and Parameterization of a Pipeline Reconfigurable FGPA
Matthew Moe, Herman Schmit, and Seth Copen Goldstein. In 6th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), pages 294–295, Apr 1990.
Managing pipeline-reconfigurable FPGAs
Srihari Cadambi, J. Weener, Seth Copen Goldstein, Herman Schmit, and Donald E Thomas. In Proceedings of the 1998 ACM/SIGDA Sixth International Symposium on Field Programmable Gate Arrays, pages 55–64, Feb 1990.


Back to publications list