MolSpice: Designing Molecular Logic Circuits

 

In Ninth Foresight Conference on Molecular Nanotechnology

Seth Copen Goldstein, James Ellenbogen, David Almassiam, Matt Brown, Mark Cannarsa, Jesse Klein, Schuyler Schell, Geoff Washburn, and Matthew M Ziegler

Santa Clara, CA

Nov 1990


download pdf


@inproceedings{goldstein-foresight01,
  author = {Goldstein, Seth Copen and Ellenbogen, James and Almassiam,
     David and Brown, Matt and Cannarsa, Mark and Klein, Jesse and
     Schell, Schuyler and Washburn, Geoff and Ziegler, Matthew M},
  title = {MolSpice: Designing Molecular Logic Circuits},
  booktitle = {Ninth Foresight Conference on Molecular
     Nanotechnology},
  url = {http://www.cs.cmu.edu/~seth/papers/goldstein-foresight01.pdf},
  year = {2001},
  month = {Nov},
  address = {Santa Clara, CA},
  keywords = {Electronic Nanotechnology, Molecular Electronics, CAD},
}

Related Papers

Molecular Electronics
Nonphotolithographic Nanoscale Memory Density Prospects
Andre DeHon, Seth Copen Goldstein, Phil Kuekes, and Patrick Lincoln. IEEE Transactions on Nanotechnology, volume 4, pages 215–228, Mar 1990.
The impact of the nanoscale on computing systems
Seth Copen Goldstein. In IEEE/ACM International Conference on Computer-Aided Design, 2005 (ICCAD 2005), pages 655–661, Nov 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Nano, Quantum and Molecular Computing: Implications to High Level Design and Validation, 1990.
Methods of chemically assembled electronic nanotechnology circuit fabrication
Seth Copen Goldstein and Daniel L. Rosewater. United States Patent No. 7,064,000. Issued June 20, 2006, Jul 1990.
Molecules, Gates, Circuits, Computer
Seth Copen Goldstein and Mihai Budiu. In Molecular Nanoelectronics, Jan 1990.
Nano, Quantum, and Molecular Computing: Are We Ready for the Validation and Test Challenges
Sandeep K. Shukla, Ramesh Karri, Seth Copen Goldstein, Forest Brewer, Kaustav Banerjee, and Sankar Basu. In Eighth IEEE International High-Level Design Validation and Test Workshop, pages 307, Nov 1990.
Digital Logic Using Molecular Electronics
Dan Rosewater and Seth Copen Goldstein. In IEEE International Solid-State Circuits Conference (ISSCC), Feb 1990.
Molecular electronics: devices, systems and tools for gigagate,gigabit chips
Michael Butts, Andre DeHon, and Seth Copen Goldstein. In International Conference on Computer-Aided Design ( ICCAD '02), pages 433–440, Nov 1990.
Molecular scale latch and associated clocking scheme to provide gain, memory and I/O isolation
Seth Copen Goldstein and Daniel L. Rosewater. United States Patent No. 6,777,982. Issued August 17, 2004, Apr 1990.
MolSpice: Designing Molecular Logic Circuits
Seth Copen Goldstein, James Ellenbogen, David Almassiam, Matt Brown, Mark Cannarsa, Jesse Klein, Schuyler Schell, Geoff Washburn, and Matthew M Ziegler. In Ninth Foresight Conference on Molecular Nanotechnology, Nov 1990.
NanoFabrics: Extending Moore's Law Beyond the CMOS Era
Seth Copen Goldstein. In The 10th International Conference on Architectural Support for Programming Languages and Operating Systems. (ASPLOS 'IX), Nov 1990.
CAD
Slack Analysis in the System Design Loop
Girish Venkataramani and Seth Copen Goldstein. In IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES-ISSS), pages 231–236, Oct 1990.
Area Optimizations for Dual-Rail Circuits Using Relative-Timing Analysis
Tiberiu Chelcea, Girish Venkataramani, and Seth Copen Goldstein. In Proceedings of the 13th IEEE International Symposium on Asynchronous Circuits and Systems, pages 117–128, Mar 1990.
Global Critical Path: A Tool for System-Level Timing Analysis
Girish Venkataramani, Mihai Budiu, Tiberiu Chelcea, and Seth Copen Goldstein. In Proceedings of the 44th ACM/IEEE Design Automation Conference, pages 783–786, Jun 1990.
Operation Chaining Asynchronous Pipelined Circuits
Girish Venkataramani and Seth Copen Goldstein. In ICCAD, Nov 1990.
Leveraging Protocol Knowledge in Slack Matching
Girish Venkataramani and Seth Copen Goldstein. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 1990.
Modeling the Global Critical Path in Concurrent Systems
Girish Venkataramani, Tiberiu Chelcea, Mihai Budiu, and Seth Copen Goldstein. Carnegie Mellon University Technical Report No. CMU-CS-06-144, Aug 1990.
SOMA: A Tool for Synthesizing and Optimizing Memory Accesses in ASICs
Girish Venkataramani, Tobias Bjerregaard, Tiberiu Chelcea, and Seth Copen Goldstein. In IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES-ISSS), pages 231–236, Sep 1990.
Translating ANSI C to Asynchronous Circuits
Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In 10th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC '04), Apr 1990.
C to Asynchronous Dataflow Circuits: An End-to-End Toolflow
Girish Venkataramani, Mihai Budiu, Tiberiu Chelcea, and Seth Copen Goldstein. In IEEE 13th International Workshop on Logic Synthesis (IWLS), Jun 1990.
Molecules, Gates, Circuits, Computer
Seth Copen Goldstein and Mihai Budiu. In Molecular Nanoelectronics, Jan 1990.
MolSpice: Designing Molecular Logic Circuits
Seth Copen Goldstein, James Ellenbogen, David Almassiam, Matt Brown, Mark Cannarsa, Jesse Klein, Schuyler Schell, Geoff Washburn, and Matthew M Ziegler. In Ninth Foresight Conference on Molecular Nanotechnology, Nov 1990.
Static Profile-driven Compilation for FPGAs
Srihari Cadambi and Seth Copen Goldstein. In Proceedings of the 11th International Conference on Field-Programmable Logic and Applications, Aug 1990.
BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations
Mihai Budiu and Seth Copen Goldstein. Carnegie Mellon University Technical Report, Jun 1990. See budiu-europar00.
Efficient Place and Route for Pipeline Reconfigurable Architectures
Srihari Cadambi and Seth Copen Goldstein. In ICCD '00, Sep 1990.
BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations
Mihai Budiu, Majd Sakr, Kevin Walker, and Seth Copen Goldstein. In Proceedings of the 2000 Europar Conference, volume 1900, pages 969–979, Aug 1990. Also appeared as CMU CS Technical Report, CMU-CS-00-141, October 2000..
CPR: A Configuration Profiling Tool
Srihari Cadambi and Seth Copen Goldstein. In 7th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '99), pages 104, Apr 1990.
Electronic Nanotechnology
Nonphotolithographic Nanoscale Memory Density Prospects
Andre DeHon, Seth Copen Goldstein, Phil Kuekes, and Patrick Lincoln. IEEE Transactions on Nanotechnology, volume 4, pages 215–228, Mar 1990.
The impact of the nanoscale on computing systems
Seth Copen Goldstein. In IEEE/ACM International Conference on Computer-Aided Design, 2005 (ICCAD 2005), pages 655–661, Nov 1990.
Why area might reduce power in nanoscale CMOS
Paul Beckett and Seth Copen Goldstein. In IEEE International Symposium on Circuits and Systems, 2005, (ISCAS 2005), volume 3, pages 2329–2332, May 1990.
Computing Without Processors
Seth Copen Goldstein. In International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA'04), pages 29–32, Jun 1990.
Defect Tolerance at the End of the Roadmap
Mahim Mishra and Seth Copen Goldstein. In Nano, Quantum and Molecular Computing: Implications to High Level Design and Validation, 1990.
The Challenges and Opportunities of Nanoelectronics
Seth Copen Goldstein. In Proceedings of Government Microcircuit Applications and Critical Technology Conference (GOMAC Tech 04), Mar 1990.
Translating ANSI C to Asynchronous Circuits
Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, and Seth Copen Goldstein. In 10th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC '04), Apr 1990.
Models and Abstractions for Nanoelectronics
Seth Copen Goldstein and Y Zhu. In Third IEEE Conference on Nanotechnology (IEEE-NANO 2003), Aug 1990.
Molecular Electronics: From Devices and Interconnect to Circuits and Architecture
Mircea R Stan, Paul D Franzon, Seth Copen Goldstein, John C Lach, and Matthew M Ziegler. Proceedings of the IEEE, 91(11), Nov 1990.
Molecules, Gates, Circuits, Computer
Seth Copen Goldstein and Mihai Budiu. In Molecular Nanoelectronics, Jan 1990.
Nano, Quantum, and Molecular Computing: Are We Ready for the Validation and Test Challenges
Sandeep K. Shukla, Ramesh Karri, Seth Copen Goldstein, Forest Brewer, Kaustav Banerjee, and Sankar Basu. In Eighth IEEE International High-Level Design Validation and Test Workshop, pages 307, Nov 1990.
Reconfigurable Computing and Electronic Nanotechnology
Seth Copen Goldstein, Mihai Budiu, Mahim Mishra, and Girish Venkataramani. In Proceedings of the IEEE 14th International Conference on Application-specific Systems, Architectures and Processors (ASAP 2003), pages 132–143, Jun 1990.
Reconfigurable Nanoelectronics and Defect Tolerance
Seth Copen Goldstein. In Proceedings of High-level design, verification, and test, 1990.
Digital Logic Using Molecular Electronics
Dan Rosewater and Seth Copen Goldstein. In IEEE International Solid-State Circuits Conference (ISSCC), Feb 1990.
From Molecules to Computers
Seth Copen Goldstein. In Tutorial at 35th Annual International Symposium on Microarchitecture (Micro 35), Nov 1990.
Molecular electronics: devices, systems and tools for gigagate,gigabit chips
Michael Butts, Andre DeHon, and Seth Copen Goldstein. In International Conference on Computer-Aided Design ( ICCAD '02), pages 433–440, Nov 1990.
What makes a good molecular computing device?
Daniel L. Rosewater and Seth Copen Goldstein. Carnegie Mellon University Technical Report No. CMU-CS-02-181, Sep 1990.
Electronic Nanotechnology and Reconfigurable Computing
Seth Copen Goldstein. In Proceedings of the IEEE Computer Society Workshop VLSI 2001, pages 10, Apr 1990.
MolSpice: Designing Molecular Logic Circuits
Seth Copen Goldstein, James Ellenbogen, David Almassiam, Matt Brown, Mark Cannarsa, Jesse Klein, Schuyler Schell, Geoff Washburn, and Matthew M Ziegler. In Ninth Foresight Conference on Molecular Nanotechnology, Nov 1990.
NanoFabrics: Spatial Computing Using Molecular Electronics
Seth Copen Goldstein and Mihai Budiu. In Proceedings of the 28th International Symposium on Computer Architecture (ISCA), pages 178–189, Jul 1990.
NanoFabrics: Extending Moore's Law Beyond the CMOS Era
Seth Copen Goldstein. In The 10th International Conference on Architectural Support for Programming Languages and Operating Systems. (ASPLOS 'IX), Nov 1990.


Back to publications list